• 통큰쿠폰이벤트-통합
  • 통합검색(34,835)
  • 리포트(23,584)
  • 자기소개서(9,352)
  • 시험자료(853)
  • 방송통신대(675)
  • 논문(137)
  • 서식(122)
  • ppt테마(56)
  • 이력서(49)
  • 노하우(4)
  • 기업보고서(3)

"설계프로젝트" 검색결과 381-400 / 34,835건

  • 열 및 물질전달 설계 프로젝트 물부족 해결
    설계 프로젝트(Open-Ended Project) 주제환경파괴와 오염에 의한 수자원이 감소하고, 지구온난화로 인해서 전세계적으로 물이 부족한 시대에 현대인들은 살고 있다. ... 설계문제의 정의1) 설계 문제에 대한 이해물 부족 문제를 인공으로 해결하려는 노력 가운데 하나가 짠 바닷물을 생활용수나 공업용수로 바꾸는 담수화다. ... 이 방법을 이용한 탈염 담수화 장비를 설계하라1.
    리포트 | 11페이지 | 2,000원 | 등록일 2013.04.02
  • 아주대 논리회로 프로젝트1 이름학번 출력 VHDL 설계
    논리회로 V H D L 프로젝트 과제목 차1. 프로젝트 문제 (설계 조건)2. 프로젝트 문제 분석 (설계 예상 방향)3. 소스 코드4. Testbench 코드5. ... 프로젝트 문제 분석 (설계 예상 방향)이번 프로젝트는 VHDL 프로그램을 사용하여 입력에 자신의 학번과 이름을 넣어 7-Segment에 출력시키는 설계를 하는 것이다.먼저 주어진 설계 ... 프로젝트 문제 (설계 조건)하나의 입력 포트에 학번(숫자)과 이름(문자)을 입력시키면 하나의 출력 포트에 연결된 7-Segment가 원하는 숫자와 이름을 출력하도록 한다.입력비트와
    리포트 | 18페이지 | 4,500원 | 등록일 2014.10.04 | 수정일 2018.12.02
  • 재료역학 샤프트 설계 프로젝트
    반면 강성설계는 하중으로 인해 생기는 변형이 일정 값 이하가 되도록 하는 설계방법이다.강성설계에는 굽힘 강성도 설계와 비틀림 강성도 설계의 두 가지가 있는데. ... 굽힘 강성도 설계는 보의 최대 처짐 각을 제한하는 방법으로 그 기준으로는 축의 최대 처짐각이 1/3000(rad) 이하가 되도록 설계(Bach식)이 주로 쓰이고 비틀림 강성도 설계는 ... 최대 비틀림 각을 제한하는 방법으로 그 기준으로는 축 길이 1m당 비틀림 각을 0.25(degree)이하가 되도록 하는 설계(Bach식)가 쓰인다.1.2 설계의 가정.본 설계는 다음과
    리포트 | 5페이지 | 1,500원 | 등록일 2009.05.08
  • [재료역학]강재보와 기둥 설계 프로젝트
    강재보와 기둥 설계무엇을 설계 할 것인가 ?무엇을 설계 할 것인가 ? 와 여분력 간주 , 구속을 제거 하여 단순보로 변환무엇을 설계 할 것인가 ? ... 설계 전단응력 안전 검토 따라서 , 보의 설계단면 (Stainless Steel) 선택기둥의 설계 Material : A36(carbon) 양단핀지지 (K=1)기둥의 설계 ④ ① ... 조건① 고정단 A 의 처짐각 조건② 지점 B 에서 처짐 ① ②보의 설계 하중선도 전단력선도 모멘트선도보의 설계 Material : Stainless Steel보의 설계 1 차선택보의
    리포트 | 14페이지 | 2,500원 | 등록일 2011.07.02 | 수정일 2021.07.15
  • 자바프로젝트(클래스,인터페이스설계, 멀티쓰레드, gui)- 인적사항프로그램
    package project;import java.awt.*;import java.awt.event.*;import java.util.Calendar;import javax.swing.*;import javax.swing.table.*;class Add implemen..
    리포트 | 3,000원 | 등록일 2015.03.18 | 수정일 2020.06.25
  • [논리회로] 디지털시계 설계프로젝트 제안서
    Digital Logic Term Project Proposal수강번호132411 조■ Title : 24시간 표현 디지털시계■ Objective24시간이 표현되는 디지털시계를 논리회로 소자를 이용하여 구현 해 본다.JK플립플롭으로 카운터 회로를 만들어 AND, OR ..
    리포트 | 2페이지 | 1,000원 | 등록일 2013.02.06
  • 전자공학과 디지털 회로 및 실험설계프로젝트 간이 전압계입니다.
    프로젝트 주제10V 이하의 전압을 측정 할 수 있는 간이 전압계2. ... 디지털회로 실험 및 설계Term Project 최종 보고서학 과 : 전자공학과과 목 : 디지털회로 실험 및 설계팀 원 :담당교수 :보고서 제출일 : 2015년 6 월 7 일목차1. ... 프로젝트 주제2. 제작 동기3. 팀원 구성 및 역할4. 사용 부품 및 공구5. 실험원리5-1 실험 부품 설명5-2 동작 원리6. 실험결과1.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 경희대학교 생체계측 프로젝트 심박계수기 설계
    심 박 계수기 생체계측 학과 학번 이름차례 생체신호 측정조건 FUNCTIONAL BLOCKS OF THE ELECTROCARDIOGRAPH ECG 측정회로 중간부분 TRANSIENT PROTENCTION INTERFERENCE FROM ELECTRIC DEVICES I..
    리포트 | 13페이지 | 1,500원 | 등록일 2014.01.06
  • 통신 시스템 설계 Single Carrior 8=PSK 프로젝트
    ..PAGE:11..PAGE:228 PSK 전체 송.수신 블록도..PAGE:328 PSK Gray Coding 설계map=[1.414 0.707;0.707 1.414;-0.707 1.414 ... ;-1.414 0.707;-1.414 -0.707;-0.707 -1.414;0.707 -1.414;1.414 -0.707];..PAGE:43Data Detection 기법 설계CCITT권고V
    리포트 | 6페이지 | 2,000원 | 등록일 2012.07.03
  • 논리 설계 및 실험, 부산대학교, 논리 설계프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    이 프로그램은 부산대학교 논리설계및 실험 과목 텀프로젝트 디지털 시계 소스코드입니다.본 프로그램에는 PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상)이
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
  • 홍익대,4학년2학기,IT종합설계,보고서,ClockAndDataRecovery,프로젝트,CDR,project,4-2,Pspice,PD
    IT종합설계프로젝트 CDR 성능보고서1. PLL은 10G까지 동작하지만 데이터 복구가 되지 않는 경우의 회로2.
    리포트 | 91페이지 | 2,000원 | 등록일 2019.01.23
  • 홍익대,4학년1학기,IT시스템설계,보고서,LaserDiode,프로젝트,LD,project,4-1,Pspice,Metlab
    1. 실험제목Light Source 특성 측정2. 실험목적White light(Fluorecent lamp), White LED, 각각 제조사에 따른 휴대폰 RGB White source와 FP(Fabry-Perot) Laser Diode, DFB(Distributed..
    리포트 | 12페이지 | 2,000원 | 등록일 2019.01.23
  • 창의교량설계프로젝트 프리젠테이션 자료
    교량 혁신 디자인 Project- Project team no.7 -Contents序..Brain stormingDesign motive디자인 과정교량전체 조감도 주요경간 평면개략도12345序..철학과 예술의 종합이라 일컬어지며, 디자인의 혁신적 모티브(motive)를..
    리포트 | 12페이지 | 4,000원 | 등록일 2010.05.21
  • 논리회로 아벨설계프로젝트(ABEL)
    과제목표 : ABEL 프로그램 설계 프로젝트1. 설계내용학번과 이름을 하나씩 입력해서 7-segment에 차례로 출력하는 PLD를 ABEL로 설계한다.2. ... 소스코드MODULE PROJECT "MODULE NAME 프로젝트TITLE '7SEGMENT' "TITLE NAME '7SEGMENT'FFF device 'P22V10'; "DEVICE ... 당초 모든 경우의 수(0~9, A~Z)가 출력될 수 있도록 설계하려고 하였지만 모든 경우의 수를 입력하였을 경우 TERM 이 많아져 계속 퓨즈맵 에러가 발생하였습니다.그래서 부울식으로도
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.10
  • 컴퓨터공학 설계 프로젝트 문서화
    프로세스 명세서프로젝트: S대학교 학생종합관리 시스템 개발프로젝트코드: SAS단계: 분석문서번호: SAS-S-1000버전: 1.0작성자: 박지희작성일자: 2008-11-05업무 영역기능학생프로세스 ... 학, 석사 연계과정 수강신청-학, 석사 연계과정에서의 수강신청을 할 수 있다.프로세스 명세서프로젝트: S대학교 학생종합관리 시스템 개발프로젝트코드: SAS단계: 분석문서번호: SAS-J ... 학생들이 신상정보를 변경할시에 본인 인증서를 선택하여 비밀번호를 입력후 변경가능 하게함.해결안보다 안전한 개인정보 보안을 함비고요구사항명세서프로젝트: S대학교 학생종합관리 시스템 개발프로젝트코드
    리포트 | 50페이지 | 3,000원 | 등록일 2010.06.06
  • 창의적 공학 설계프로젝트
    .● 설계 변수(1) 커피 캔 자동차는 50% 이상의 시간을 트랙과 접촉하고 있어야하므로 경사면에서의속도 및 차체 무게를 고려(2) 커피 캔 내부의 제약된 공간으로 인하여 모터 및 ... 부분 이용)(4) 스위치 제작Normal 상태스위치 ON 상태미니카에 있는 기존 스위치를 이용하여 우리에게 필요한 구조로 변경Normal 상태에는 모터가 정회전을 할 수 있도록 설계
    리포트 | 15페이지 | 3,000원 | 등록일 2009.04.09
  • 변기의 품질 개선 방안 프로젝트 <창의적공학설계 프로젝트 레포트>
    변기의 품질 개선 프로젝트2009년 1학기 창의적 공학설계 과제< 차례 >1 초록2 서론3 본론(1) User Survey(2) Objectives Tree(3) Quality Function
    리포트 | 27페이지 | 2,500원 | 등록일 2010.12.22
  • 조사 연구 설계 - 대학교 수업에서의 팀 프로젝트 수행에 대한 다각화 연구 설계
    조사 설계: 방법론적 다각화1. 양적 자료수집방법 - 실험2. 질적 자료수집방법 - 인터뷰참고문헌대학교 수업에서의 팀 프로젝트 수행에 대한 다각화 연구 설계Ⅰ. 문제제기1. ... 활성화 ―一→ 프로젝트 성과프로젝트 신규성 정도? ... 각기 다른 프로젝트 성과 지표와의 관계는 어떻게 나타나는가?한연옥(2007)프로젝트 관리자 역량이 프로젝트 성과에 미치는 영향은 무엇인가?
    리포트 | 19페이지 | 3,000원 | 등록일 2014.03.19
  • IDEF 모델링 방법론 _ 프로젝트 설계 및 관리
    시공관리 프로세스에 대한 IDEF 모델링1) IT Complex 프로젝트에 대한 IDEF MODEL 표현2) IT Complex 사업 계획 및 설계(A0)에 대한 IDEF Model3 ... 설계도서2. 공정관리 계획3. 원가관리 계획4. 관련 법규 검토5. 가시설물 배치계획도1. 현장관리 및 공사용가시설물 설치계획수립2. 환경관리 시설물설치계획3. ... IT Complex 프로젝트 개요1) 공사개요2) Project Concept4개의 각각의 영역이 한 곳에 모여 모든 IT를 수용하고 분출하는 미지의 'X'항구정보의 생성, 분출과
    리포트 | 10페이지 | 2,000원 | 등록일 2012.04.24
  • 공학설계 프로젝트 헬스머신 설계 TRAINER
    공학설계PROJECT헬스머신 설계Concept Development ofARC TRAINERSTEP 1. PRE-PROJECT PLANNING1. ... 만약 손잡이 부분에 달려있는 발판 축을 유니버설 조인트로 설계한다면 가능할 것이라고 생각한다. ... 또한 Arc trainer는 기능적인 측면을 충족시키게 설계하면서 부피가 매우 커질 수밖에 없게 되었다.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.03.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대