• 통큰쿠폰이벤트-통합
  • 통합검색(1,064)
  • 리포트(750)
  • 기업보고서(258)
  • 논문(26)
  • 시험자료(11)
  • 자기소개서(6)
  • 방송통신대(6)
  • ppt테마(6)
  • 서식(1)

바로가기

BIT 독후감 - BIT 관련 독후감 3건 제공

"BIT" 검색결과 381-400 / 1,064건

  • 디지털실험 - 실험 3. 2비트 전가산기 예비
    *예비보고서*실험주제실험 3. 2비트 전가산기조13조1. 실험 이론- 목 적1) 반가산기와 전가산기의 원리를 이해한다.2) 가산기를 이용한 논리회로의 구성능력을 키운다.- 이 론1) 2진 연산(Binary Arithmetic)2진수 체계는 모든 디지털 시스템의 기초이다..
    리포트 | 9페이지 | 1,500원 | 등록일 2017.04.02
  • 16비트 컴퓨터 설계
    경희대학교 컴퓨터구조 16비트 컴퓨터설계도면 입니다.
    리포트 | 20페이지 | 10,000원 | 등록일 2010.06.23 | 수정일 2017.06.14
  • 16bit checksum & algorithm
    ppt 및 프로그램 있음파일열기Bmp파일 읽기이미지데이터를 읽기10진수 데이터를 16진수로 변환에디트 박스에 출력
    리포트 | 6페이지 | 4,000원 | 등록일 2012.11.13
  • 8비트 시프트 레지스터
    실험10 8비트 시프트 레지스터목적1) 시프트 레지스터의 동작 원리를 이해한다.2) 브레드보드와 ttl을 사용하여 기본 회로를 구성하고 동작을 측정한다.3) 전원공급기, 오실로스코프, 그리고 로직 프로브 등 각종 실험 장비의 조작법을 익힌다.실험방법1) 74165 TT..
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.23
  • 8비트_시프트_레지스터
    0. 실험목적실험을 통하여 시프트 레지스터의 기본 동작 원리 및 그 기능을 이해하고, 그 동작을 확인한다. 또한 플립플롭의 응용능력을 향상시킨다.1. 이론시프트 레지스터는 잠정적인 데이터 저장능력을 갖고 있는 일련의 연결된 플립플롭들로서 클락 펄스가 들어올 때마다 저장..
    리포트 | 2페이지 | 1,500원 | 등록일 2010.06.18
  • 인하대학교 디지털시스템설계 (verilog) 32bit ALU 설계
    1. 과제목적1. generate 구문의 사용법을 익힌다.2. ALU의 구조와 동작방식에 대해 알아본다.3. Hierarchical 구조 모델링을 익힌다8. 고찰처음 최상위 모듈을 작성할 때 Set값을 wire로 선언하지 않고 시뮬레이션을 실시하였다. 코드상 에러는 발..
    리포트 | 12페이지 | 2,000원 | 등록일 2017.01.06 | 수정일 2018.03.24
  • [A+]비트조작(bit operation, 9문제)
    ●문제 분석bits.c 파일에는 15가지의 프로그래밍 퍼즐의 뼈대(skeleton)가 있다. 이번 과제는 각 선언된 함수에 straightline code(i.e.,반복문이나 조건문이 없는 코드)이면서 제한된 수의 C 산술 연산자와 논리 연산자를 사용하여 코드를 완성하..
    리포트 | 13페이지 | 2,000원 | 등록일 2010.04.03
  • 패리티 비트로 헤더 체크섬을 확인하는 방법 (멀티미디어 통신 레포트 A+)
    ● 헤더 체크섬이란? IP 패킷 헤더의 오류 발생을 검사하기 위한 필드이다. IP 헤더에 대해서만 수행된다. 먼저, 헤더 체크섬 필드의 비트 값을 모두 0으로 설정한 후, 전체 헤더가 16비트 워드의 연속이라 가정하고 1의 보수 합을 수행한다. 이 값을 체크섬..
    리포트 | 3페이지 | 1,000원 | 등록일 2017.08.18
  • 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.당시 한태희 교수님이셨고, 두번째 과제였습니다.8bit decimal Counter schematic 및 소스코드 첨부합니다.modelsim 상에서 VHDL파일로 실행가능합니다.
    리포트 | 1,000원 | 등록일 2017.05.23
  • 서버에서 클라이언트 패리티비트 검사
    -서버/클라이언트 구조로 구현-클라이언트의 기능TDPBC을 생성하여 전송조건: No error, 1bit error, 2bit error, 3bit error, 4bit error 발생 후 전송-서버의 기능TDPBC를 확인하여, 에러 유무를 출력문제정의클라이언트에서 전..
    리포트 | 8페이지 | 1,000원 | 등록일 2011.07.13
  • VHDL을 이용한 2비트 감산기, 4비트 가감산기
    REPORT1. 2비트 감산기- 209페이지 Source 코드 참고entity sub2 isport ( A : in STD_LOGIC_VECTOR (1 downto 0);B : in STD_LOGIC_VECTOR (1 downto 0);D : out STD_LOGIC_..
    리포트 | 9페이지 | 2,000원 | 등록일 2011.06.22
  • 논리회로설계실험 프로젝트 8Bit 계산기
    논리회로설계 설계 보고서 #11. 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로를 설계한다.8bit 덧셈기를 구현하고 결과를 7 segment로 출력하는 회로를 설계하는 것이 이번 설계의 목표이다.설계된 회로로 수행할 덧셈은..
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • verilog 4bit alu
    컴퓨터 응용설계4bit ALU○문제 정의를 위한 명세(specification) 및 설계 범위4bit의 8가지 산술과 4가지 논리 연산을하는 ALU.-> A,B 4bit를 각각 입력받고 S1,S2,Cin을 입력받는다.M이 1이라면 산술연산을 하는데 S1,S2,Cin의 ..
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.23
  • 2비트 전가산기 결과보고서
    3장 결과보고서 2009069160 김기훈실험 (1)다음 회로를 구성하고 진리표를 작성하라.입력값실험결과 사진(S,C)A=0 B=0입력시A=1 B=0입력시결과 해석실험1번의 경우 반가산기의 회로로써 A,B의 입력에 따라서S=A· bar{B} + bar{A} ·B=A O..
    리포트 | 6페이지 | 1,000원 | 등록일 2014.06.03
  • 2비트 전가산기 예비보고서
    실험 제목 2비트 전가산기실험 목적[1] 반가산기와 전가산기의 원리를 이해.[2] 가산기를 이용한 논리회로의 구성능력을 키움.관련이론① 반가산기 (HA : Half Adder)- 자리올림은 고려하지 않고 두 비트 A, B만을 입력으로 받아서 출력에 그의 합 S와 자리올..
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • [verilog]8비트 가감산기 설계
    5. 회로를 요구사항에 맞춰서 설계하기①모드 설정을 위해서 위와 같은 방법을 사용하여 설계하였습니다.②OverFlow는 MSB가 다른 경우에는 발생하지 않으며 MSB가 다른 경우 중 캐리 아웃과 그 전 캐리 값이 다른 경우에 발생하게 됩니다.따라서 assign over..
    리포트 | 5페이지 | 3,000원 | 등록일 2012.04.30 | 수정일 2021.05.07
  • 컴퓨터구조 16비트 베이직 컴퓨터설계
    컴퓨터시스템 설계 CPU설계
    리포트 | 5,000원 | 등록일 2013.06.26 | 수정일 2018.02.07
  • 16비트 CPU 설계
    위 그림에서 볼수 있듯이 CPU의 설계에는 Memory, AR 레지스터, PC 레지스터, DR 레지스터, AC 레지스터IR 레지스터, TR 레지스터 ALU, 버스, INPR, OUTR, E 등이 필요하다. 각각의 레지스터들은 서로 다른 크기의주소비트를 가지고 있으며 다..
    리포트 | 23페이지 | 4,000원 | 등록일 2009.12.01
  • JK플립플롭,T플립플롭 3비트 2진카운터 설계
    과목명 : 디지털공학개론학 번 : 16200481이 름 : 정기복---- 목 차----1. 플립플롭 이란?2. 플립플롭의 종류1) RS 플립플롭2) D 플립플롭3) JK 플립플롭4) T 플립플롭3. 플립플롭을 이용하여 3비트 2진 카운터 설계1) JK 플립플롭을 이용하..
    리포트 | 9페이지 | 2,000원 | 등록일 2016.12.10 | 수정일 2018.09.20
  • 컴퓨터 그래픽파일 방식(비트맵, 벡터방식)
    1. 비트맵방식1) 정의- 화소(Pixel)라고 부르는 작은 점들의 2차원 배열을 이용한 영상 정보의 표현방법을 말한다. 하나의 화소는 R, G, B값의 조합으로 색을 표현하며 색상 Bit수에 따라서 8Bit, 16Bit, 24Bit등의 색상을 표현한다.- 컴퓨터에서 ..
    리포트 | 2페이지 | 5,000원 | 등록일 2014.04.13 | 수정일 2014.05.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대