• 통큰쿠폰이벤트-통합
  • 통합검색(1,170)
  • 리포트(1,020)
  • 자기소개서(52)
  • 시험자료(49)
  • 서식(22)
  • 이력서(10)
  • 논문(9)
  • 방송통신대(4)
  • ppt테마(3)
  • 노하우(1)

"case file" 검색결과 381-400 / 1,170건

  • 열역학 Heating Engine 예비 보고서 (영문)
    Choose Open Activity and select the file Heat Engine data.ds3. ... In this case, there are various formulas depending on each state.
    리포트 | 4페이지 | 1,500원 | 등록일 2018.12.24
  • 조선대 e-비즈니스 커뮤니케이션 ox 대비 정리집
    you shipment before October 21, 2013We consider it (to be) a good idea.We could barely avoid being filed ... from you would help us to expedite shipment.Considerable adjustment need to be made in our books.Each case ... not help rejecting the order.We count it an honor to serve you.We expect a keen price.We found the case
    시험자료 | 6페이지 | 1,500원 | 등록일 2018.12.11 | 수정일 2019.07.21
  • 분만실 간호과정 Preterm labor 케이스
    ..FILE:mimetypeapplication/hwp+zip..FILE:version.xml..FILE:Contents/header.xml^1.^2.^3)^4)(^5)(^6)^7^ ... Case StudyAGeneral patient data· 이름 : 장XX· 성별 : 여· 나이 : 31· 신장/체중 : 160cm/73kg (임신 전 63kg)· 종교 : 무교· ... (^5)(^6)^7^1.^2.^3)^4)(^5)(^6)^7^1.^2.^3)^4)(^5)(^6)^7^1.^2.^3)^4)(^5)(^6)^7^1.^2.^3)^4)(^5)(^6)^7..FILE
    리포트 | 17페이지 | 1,000원 | 등록일 2017.04.09 | 수정일 2024.09.06
  • 전자종합설계1-이채우-과제5
    (file, list);// 파일에 출력한다.break;case 5:file = fopen("namecard.txt","wt");// 쓰기형으로 연다.if(file == NULL)/ ... (p,Search);break;}break;case 4:if(file==NULL)// 파일을 아직 열지 않은 상태이면{printf("파일을 먼저 불러오세요\n");break;}fileprint ... =0){printf("file close error!
    리포트 | 6페이지 | 2,000원 | 등록일 2014.01.01
  • Lab#05 Combinational Logic Design 2
    S값에 따라 A와 B가 결정되는 것에 착안하여, S가 0일때와 S가 1일때를 나누어 각각의 Case를 If문을 통하여Verilog File을 작성하였다.UCF파일에서 Input을 Bus ... Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... Prelab1 (3:8 Decoder)Verilog codeTest BenchTiming SimulationFuctional Simulation3:8 Decoder는 Case 구문을
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 전자종합설계1-이채우-과제6
    ("파일을 먼저 불러오세요\n");break;}fileprint(file, list);// 파일에 출력한다.break;case 5:file = fopen("namecard.txt", ... 찾는다.scanf("%s",Search);search(p,Search);break;}break;case 4:if(file==NULL)// 파일을 아직 열지 않은 상태이면{printf ... ;case 8:exit(1);break;}getchar();// 버퍼를 비운다.}}// fileprint.c#include"include.h"void fileprint(FILE *F
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.01
  • ‘통신 분야’ 의 시공간 정보
    있도록 노력해야 된다고 생각한다.참고문헌 및 자료출처-시공간 정보의 이해-빅 데이터 활용과 통신산업에 대한 시사점 (이성춘 KT경제경영연구소 기업전략팀 팀장)-Big Data Use Case ... /wikipedia/commons/thumb/f/f6/KT_Logo.svg/270px-KT_Logo.svg.png-[그림3] http://cfile10.uf.tistory.com/image ... of the Sector (김동완)-[그림1] http://cfile21.uf.tistory.com/image/2746FB50522E66260105C2-[그림2] http://upload.wikimedia.org
    리포트 | 3페이지 | 1,000원 | 등록일 2015.11.30
  • Governmnet vs Market(영문)
    It means 70% capitalism society.By the service filed standards, the council is not appropriate standard ... It is similar to the case of market failure. ... However our country is the only case of divided country, so the rate is not so high.
    리포트 | 2페이지 | 1,000원 | 등록일 2016.07.16
  • 전자전기컴퓨터설계실험2(전전설2)5주차예비
    모델링하면 쉽게 할 수 있다.2to1 mux의 경우엔 if문으로 작성하였고 4to1 mux의 경우엔 case문으로 작성하였다. ... MUX 모델링Mux라는 회로는 input에 지정된 신호를 입력해주었을 때 지정된 input이 나오는 회로이므로 if문이나 case문을 사용해서 신호에 따라 input을 지정해주면서 ... HDL의 문법을 이용하여 원하는 게이트를 구현하기 위해서 project 파일을 HDL로 설정하여 생성한다.2. text file로 생성Project 파일과 마찬가지로 원하는 게이트를
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 성인간호실습 Case 폐렴환자 - 비효율적 기도청결과 고체온 컨퍼런스 자료입니다. 성인간호 A+ 받은 자료이며 교수님께도 칭찬받은 자료입니다.
    이에 장기입원을 고려하여 환자를 살펴본 결과 case환자가 장기 입원 가능하였으며 Vital 시 개인위생이 부적절함과 환자상태 악화가 관찰된 환자이므로 선정하게 되었음.Ⅲ. lab ... 65 Score : 3-> PSI(Pneumonia Severity Index) : 155 point- Chest CT 결과 Rt.Lung filed의 consolidation 확인됨 ... 65 Score : 3 ,PSI(Pneumonia Severity Index) : 155 point 측정되었으며 Chest CT 결과상 Rt.Lung filed의 consolidation
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.13
  • Final Morris's plea
    The Wall Street Journal put 10 articles about this case the NY crime section and published 2 articles ... The business daily dedicated some space to discussing the fate of Rattner.It said that Cuomo filed two ... daily provided large amounts of information regarding Rattner’s plight, ranging from the two lawsuits filed
    리포트 | 6페이지 | 3,500원 | 등록일 2016.02.09
  • DESCRIBING AND ANYALYSING LANGUAGE : PHONETICTS AND PHONOLOGY
    In the case of NS, I recorded her pronunciation twice by mistake. ... you are a native speaker of English, the other person should be a NNS- I have submitted it as MP-3 files ... in the next phrase, a kind of connected speech phenomenon seems to be made in the similar way as the case
    리포트 | 10페이지 | 3,000원 | 등록일 2016.01.21
  • MAT기초 설계
    ※지진하중을 다시 넣으면 Load Combination을 다시해야 RX, RY값이 들어간다.⑤ 해석을 한다.⑥ 저장 후 SDS로 파일을 넘긴다.File - Data Conversion ... 자중 포함 된 것 - 지내력 확인용- 기초 자중 포함 안 된 것(Self weight 삭제) - 부재력 (모멘트) 확인용, 배근용① GEN에서 저장한 MST 파일을 불러온다.=> File ... Load CasesLoad Case 1 : RS_RX(ST)Load Case 2 : RS_RY(ST) =>ADD⑩ Envelope=> Result - Envelope..SER (계수
    리포트 | 6페이지 | 5,000원 | 등록일 2014.12.03
  • 지맨스 친환경병원 Green+ Hospital
    Award of certificate BUND-Gütesiegel: “energy saving hospital” Page 21Author / Department * Data on file ... personnel (e.g. start of lysis, dealing with lab data, intervention options, secondary diagnostics, general case
    리포트 | 54페이지 | 3,000원 | 등록일 2018.08.07
  • 카이스트 Bioengineering Laboratory 1 lab5_final [Microarray data analysis]
    우리는 C++로 text file을 읽고, 조건을 만족하는 data만을 뽑아 write 하는 작업을 하기 위해서는 일일이 for문으로 적어도 10줄에 달하는 code를 직접 작성 해야만 ... 수 있도록 각각에 대해 gene들의 발현 정도를 정리한다.Using MATLAB, find p-value for each gene.Method A에서 얻은 각각의 dataset file에 ... 우리는 왜 그동안 C++로 그 고생을 하였을까… 또한 casename의 경우는 character의 배열이 쭉 나열되어 있는 것이므로, casename(row, : )을 쓰면 자동적으로
    리포트 | 5페이지 | 2,000원 | 등록일 2015.01.04
  • (c언어) 학생 관리 시스템 프로그램, 학사 정보 시스템 프로그램
    char address[30];};void main(){struct student table[3];int SIZE=0;char sch_name[20];struct student s;FILE ... 4.학생전체목록 *\n");printf("\t* 5.프로그램종료 *\n");printf("\t******************\n");scanf("%d",&k);switch(k){case
    리포트 | 4페이지 | 2,500원 | 등록일 2014.08.13 | 수정일 2016.10.04
  • Information mining's problems
    Especially in case of this girl, someone found her address on the Google maps and went to her house, ... Although people download the files without knowing this problem, they will also get legal punishment ... is one of the web hard programs that share the information with other people, but some people upload files
    리포트 | 5페이지 | 1,000원 | 등록일 2015.12.05
  • 응급실케이스[case]
    probe, needle holder(2) bowl, ER-bowl, spoid, manometer, nasa scope, speculum, rouger, curret, bone file ... 본 론CASE 1.1) 개인력?이 름: 이OO?나 이: 44세?성 별: 남?주 소: 김해 장유 삼문리2) 현병력? ... 진단위한 검사)CASE 3.1) 개인력?이 름: 김OO?나 이: 34세?성 별: 여?주 소: 창원시 신촌동2) 현병력?
    리포트 | 13페이지 | 1,500원 | 등록일 2017.10.31 | 수정일 2018.01.24
  • Lab#03 Verilog HDL
    Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... casex casez cell cmos config deassign default defparam design disable edge else end endcase if ifnone ... Verilog를 구성하는 요소들이 포함되어있다.대표적인 keyword 들은 다음과 같다.always and assign automatic begin buf bufif0 bufif1 case
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • Fun English!
    가볍게 즐기기 딱 좋다.Cold Case 아… 햇살미녀 릴리의 매력에 빠지지 않을 수 있겠는가!! ... 스크립트도 많이 나와 있어 공부하기에 아주 좋은 드라마임.X-Files 말이 필요없는 고전 중의 고전. 주연배우의 개런티 문제로 안타깝게 9시즌 후 종결됨. ... 미국서부중산층이 쓰는 ‘표준영어’에 가까움24액션1081시즌 정도만 버티면 나머지는 대충이라도 알아들을 수 있음The X-Files (e)SF98The classic!!!
    리포트 | 11페이지 | 2,000원 | 등록일 2015.07.13 | 수정일 2019.12.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대