• 통큰쿠폰이벤트-통합
  • 통합검색(1,041)
  • 리포트(951)
  • 시험자료(45)
  • 방송통신대(26)
  • 자기소개서(16)
  • 논문(2)
  • 서식(1)

"순서 논리회로 설계" 검색결과 401-420 / 1,041건

  • 순서논리회로 2 시프트 레지스터 결과보고서
    ■실험의 의의-이번 실험은 순서논리회로의 두 번째 시프트 레지스터 라는 실험이었습니다.목적과 이론을 예비보고때 파악함으로써, 추상적이었던 목적과 이론을 직접적인 실험을 통하여링카운터 ... ■결과 및 토의-이번 실험에서는 이론값과 실제값이 특별하게 잘못 되거나 차이나는 점이 없었는데, 그 이유는 회로 설계 혹은IC칩 내부 고장 등등의 이유로 잘못되어진 부분은 다시 반복실험하여 ... -그 이외에도 직렬데이터 통신, 디지털 금고, 시간 지연회로, 난수발생회로 등의 광범위하게 사용됩니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 컴퓨터시스템구조 연습문제 4장 풀이
    하나의 선택 변수 S와 두 개의 n비트 데이터 입력 A와 B를 가지는 산술 회로설계하고, 처음 두 단(stage)에 대한 논리도를 그려라. ... 네 개의 전가산기 회로를 이용하여 4비트 조합 회로 디크리멘터(decrementer)를 설계하여라.풀이)4-15. ... 레지스터 AR, BR, CR, DR이 각각 다음과 같은 초깃값을 가지고 있다.AR = 11110010BR = 11111111CR = 10111001DR = 11101010다음과 같은 순서
    리포트 | 7페이지 | 1,000원 | 등록일 2016.01.07
  • 동기 및 비동기 카운터회로 설계결과보고서
    제어하는 데에 중요한 역할을 하는 회로이며, 대표적인 순서 논리 회로 중의 하나이다.계수기 (counter)는 입력 펄스에 의해 미리 정해진 순서대로 플립플롭 회로의? ... 따라서 회로의 동작 시간이 순서대로 입력과 출력이 이루어지므로 시간이 오래걸린다는 단점을 가지고 있다. ... 설계5 결과보고서 2009069160 김기훈1. 간단한 이론 분석⊙ 계수 회로 : 시간 펄스의 수를 세거나 제어 장치에서 각종 회로의 동작을 ?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 컴퓨터활용능력 1급 필기 중 *컴퓨터 일반* 필기자료
    산술논리 연산의 결과를 일시적으로 기억하는 장치* 명령어 기억하는 장치는? IR* 명령을 해독하는 장치는? 해독기@ 레지스터(Register)? ... - 프로그램 실행 중 현재 처리 순서 중단 후 다른 동작 수행하도록 하는 것- 인터럽트 수행을 위한 인터럽트 서비스 루틴 프로그램이 따로 있음- 인터럽트 서브루틴이 끝나면 주 프로그램이 ... Character Reader) : 수표- 바코드 판독기 (BCR; Bar Code Reader) : POS 시스템- 스캐너 (Scanner)- 디지타이저 (Digitizer) : 복잡한 설계
    시험자료 | 28페이지 | 2,500원 | 등록일 2018.12.27
  • 동기 및 비동기 카운터회로 설계보고서
    제어하는 데에 중요한 역할을 하는 회로이며, 대표적인 순서 논리 회로 중의 하나이다.계수기 (counter)는 입력 펄스에 의해 미리 정해진 순서대로 플립플롭 회로의? ... 설계 제목 - 동기 및 비동기 카운터회로 설계 ? ... 설계 목표비동기식, 동기식 카운터의 설계 과정 및 동작을 이해한다.배경이론⊙ 계수 회로 : 시간 펄스의 수를 세거나 제어 장치에서 각종 회로의 동작을 ?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 순차회로 설계 예비보고서
    과 목 : 논리회로설계실험과 제 명 : 순차회로 설계담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 1논리회로설계 실험 예비보고서 ... 예비 이론(1) Latch- Clock 신호에 관계없이 모든 입력을 감시하다가 언제든지 출력을 변화시키는 비동기식 순서논리소자로 한 비트의 정보를 데이터가 바뀌기 전까지 계속 유지하는 ... 순서논리소자이다.- Latch와 유사하지만 가장 큰 차이점으로 Latch는 입력신호가 인가되는 순간 바로 출력에 반영되지만, Flip-Flop은 Clock 신호가 인가되는 순간의
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 전기전자공학
    논리회로설계논리 회로설계논리식이나 진리표가 사용된다. ... 덧붙여서 일반적인 논리 회로 설계를 하는 기술자는 수학의 논리 연산 기호와 다른 기호를 사용하여 논리식을 기술하는 경우가 많다.? ... 좀 더 회로도적인 표기 수단으로 MIL 기호 등 논리 소자 기호가 사용되었다. 1960년대에 표준 논리 IC(텍사스 인스트루먼트의 7400 시리즈)가 등장하자 아날로그 회로 설계
    리포트 | 6페이지 | 1,500원 | 등록일 2014.03.13
  • 논리회로실험 8주차 예비보고서
    이러한 순서논리회로회로의 상태가 정해진 순간의 입력에 의해서만 변화하는 동기 순서논리회로회로의 상태가 어느 순간에서나 입력의 변화에 따라 변화되는 비동기 순서논리회로로 나눌 ... 기본이론1) 순서논리회로의 의미순서논리회로는 조합논리회로와는 달리 현재의 입력뿐만 아니라 과거의 입력과 시간에도 출력이 영향을 받게 되며, 조합논리회로와 피드백을 구성하는 기억소자로 ... 수 있다.조합논리회로기억소자입력출력현재상태다음상태위의 그림은 순서논리회로 블록도로서 조합논리회로와 피드백(feed back)을 형성하는 기억소자로 구성되어 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    논리회로설계 설계 보고서 #21. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다.7segment에 표시되는 스탑워치를 설계한다.스탑워치는 분, 초, 1/100초를 ... 그리고 주어진 dc코드와 출력코드를 이용해서 6자리의 7segment에 순서대로 출력하였다. 다음으로는스탑을 구현하는 방법이다.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • 08 논리회로설계실험 결과보고서(카운터)
    논리회로설계 실험 결과보고서 #8실험 8. 카운터 설계1. ... 존슨카운터를 응용하여 설계하였다.실험의 목표는 클락 주파수가 2Hz인 회로이다. ... , 00000111, 00001111... 11000000, 1000000 순서대로 변화한다.핀 할당 사진에서처럼 변수 Y (즉 X) 가 led에 할당되어 있으므로, Y값에 따라 led가
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 디지털실험및설계 결과3(시프트 레지스터)
    디지털 논리실험 및 설계#3 시프트 레지스터 (결과)담당교수님 : 교수님제출일자 : 2015. 04. 13조 :학번 :이름 :1. ... 이후 CLK을 0,1,0 순서대로넣어 주었는데 값이 이상하게나왔다. ... 표에서 보면 값들이 앞에서와 달리 순서적 측면에서는 특징 나오지 않았다.하지만 CLK이 0 일 때와 15 일 때 값이 같다는 점과 그 다음의 CLK에 의한 출력 값이 반복되고 있다는
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 디지털실험 5 결과 실험 5. Multiplexer 가산 감산
    먹스의 특성인 데이터 선택기능을 이용해 가산기를 설계하는 것은. 소자 특성만 잘 이해한다면 논리 게이트를 이용한 것보다 더 쉬운 것 같다. ... SN74151을 2개 이용하여 전가산기 설계실험 4의 회로이다. 8입력 3제어입력 1출력을 가지는 먹스(74151) 2개로 전가산기를 구성했다. ... AB 00부터 01, 10, 11순서로 측정한 결과. 의도한 대로 첫 번째 결과만 0이다.D1, D2=1 / D0, D3=0 위와 같은 방법으로 측정.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.09.30
  • Up/Down 카운터 예비보고서
    회로도시뮬 결과시뮬 분석실험1번 의 경우 Q바의 값이 다음 플립플롭의 클럭으로 들어가는 다운 카운터의 회로설계해 보는 것이다. ... 확인할수 있다.(2) 다음 회로를 구성하라회로도시뮬 결과시뮬 분석실험2번 의 경우 X입력 값에 따라서 다운카운터터/업카운터 로 변화하는 회로설계하는 것이다. ... 하게 되어서 00→01→10→11으로 증가하는 것을 확인할수 있다. (4) 다음 회로를 구성하라.회로도시뮬 결과 (순서대로 Q에 연결, QN에 연결)시뮬 분석실험4번 U/D의 연결에
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • [논리회로실험] 실험11. 디지털 클락
    과 목 : 논리회로설계실험과 제 명 : 디지털 시계 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.06.11.Introduction이번 실험에서는 ... 'DIGIT을 지정해주는 부분', 'DIGIT을 순서대로 선택하기 위해 사용되는 부분(일종의 분주회로)', '7-segment에 data를 입력하는 부분', '시계에 사용되는 분주회로 ... 회로를 통해 디지털 시계를 설계하고 설계한 결과를 7-segment에 출력하게 된다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    DATAPATH를 설계 및 구현하고 검증한다.배경지식1. ... 연산을 수행하는 회로이다. ... 디지털 시스템 설계 및 실험 예비 보고서작성자:학번:실험조:실험일:실험제목Simple Computer - Data Path실험목표1.
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • FPGA를 이용한 디지털 시스템 설계(인하대) MUX, Decoder, Comparator 보고서
    실험의 첫번째 주제는 MUX를 설계하는 것이다.MUX는 Multiplexer의 줄임말로 선택 입력신호에 의해 여러 개의 입력 중에서 하나를 선택하여 출력하는 논리회로이다. ... 것이다.Comparator는 비교기로 두 수의 크고 작음을 비교하는 논리 회로로 두 입력값 중 한 값이 다른 값보다 큰지 작은지 또는 같은지를 검사하는 회로이다.비교기의 회로는 여러 ... 모든 비트가 같다면 두 수의 크기가 같다는 결론을 내릴 수 있다.4bit 비교기를 코딩하는 데에는 위에 나타난 회로처럼 게이트들을 사용하여서 논리회로를 구성하는 코드를 작성할 수도
    리포트 | 18페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 06 논리회로설계실험 예비보고서(순차회로)
    논리회로설계 실험 예비보고서 #6실험 6. 순차회로 설계1. 실험 목표래치와 플립플롭에 대해 이해한다. ... 기억 및 귀환 요소가 있어 플립플롭과 유사하지만 clock 입력이 없어 비동기식 순서논리회로이다.종류는 S-R래치와 D래치가 있다.- SR 래치S(set) 및 R(reset)으로 된 ... 각 각 어떤 종류의 래치와 플립플롭이 있는지 알아본다.JK 플립플롭을 VHDL을 이용해 설계해본다.레지스터에 대해 이해하고 VHDL을 이용해 시프트 레지스터를 설계해본다.2.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 4단자망 해석 기초
    각 파라미터가 회로적으로는 어떤 의미인지를 파악하는 것이 논리 순서상 첫 번 째로 해야 할 숙제이며, 그 정도 수준에서 파악만 해 주면 족하다. ... 주파수가 커지면 커질수록 소자들 의 히스테리 증상은 도를 더 해 자기분열 현상이 생겨 저항이 콘덴서나 코일로 분화 되기도 하는데, 이는 애당초 원하지 않은 회로요소이기 때문에 설계하는 ... 위의 순번대로 조립하면 ① a11×x11 ② a12×x21 ③ a21×x11 ④ a22×x21 순서로 곱한 것을 더해주면 4 단자망에서 이미 살펴 본 것과 같은 방정 식을 얻는다.이
    리포트 | 9페이지 | 1,000원 | 등록일 2016.07.30 | 수정일 2016.08.08
  • 컴퓨터 구조와 운영체제
    비교, 판단, 연산을 담당하는 논리연산장치(arithmetic logic unit)와 명령어의 해석과 실행을 담당하는 제어장치(control unit)로 구성된다.논리연산장치(ALU ... 기억장치인 레지스터(register) 등으로 구성되어 있다.제어장치는 프로그램의 수행 순서를 제어하는 프로그램 계수기(program counter), 현재 수행중인 명령어의 내용을 ... 그 외의 부품들은 확장 슬롯을 통하여 추가가 가능하며 설계 여하에 따라서 CPU종류와 메모리 최대용량, 확장슬롯의 수량 등 기본성능이 규정되며, 시스템의 안정성도 밀접한 관계를 가진다.칩셋이라는
    리포트 | 18페이지 | 1,000원 | 등록일 2016.03.06
  • [논리회로실험] 실험8. counter
    과 목 : 논리회로설계실험과 제 명 : Binary/gray counter 설계담당교수 : 김종태 교수님학 과 :학 년 : 3학 번 :이 름 :제 출 일 : 2013.05.21.Introduction이번 ... 그리고 분주회로 설계 시 clk를 count할 때 사용되는 clk_d를 선언한다.첫 번째 process는 '분주회로'이다. ... 진행순서가 "000", "001", "011", "010", "110", "111", "101", "100"이 된다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.03.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대