• 통큰쿠폰이벤트-통합
  • 통합검색(561)
  • 리포트(532)
  • 시험자료(14)
  • 논문(13)
  • 방송통신대(2)

"제어 출력CONTROL OUTPUT" 검색결과 401-420 / 561건

  • 다단 액위 제어
    센서)◦ 제어기(Controller) : 제어동작을 출력하는 장치◎ 블록선도◦ 제어시스템에서의 정보흐름을 블록과 선으로 표현② 공정 제어(Process Control)란? ... 양에 따라 동작된다.◦ 출력(Output) = (PV-SP)(Gain) + Bias◦ 교란이나 부하가 변한 후에는 언제나 Offset을 갖는다.◦ 비례대(Proportional band ... 이론(1) 공정 제어(Process Control)① 제어 관련 기본 용어◎ 관련 변수◦ 제어변수(CV) : 제어하고자 하는 변수◦ 조작변수(MV) : 제어변수를 변화시킬 수 있는
    리포트 | 11페이지 | 2,500원 | 등록일 2006.12.15
  • 분산전원을 위한 계통연계형 인버터 제작
    Output) 시스템으로 표현이 가능하며, [그림3.1]과 같이 블록선도로 나타 낼 수 있다. ... PWM에서 출력전압의 크기는 파형을 변조해서 제어한다. ... 분산전원을 위한 계통연계형 인버터 제작Design and Control of the single-phase Inverter for Distributed Power Generation
    리포트 | 25페이지 | 2,500원 | 등록일 2008.12.16
  • 물류망 개선을 위한 연구(AGV, 컨베어벨트)
    Output출 력 전 류 변 화±5mA이 상 검 출출력 Short 및 단선시 Alarm사 용 거 리최대 1000m (선 저항 150 Ohm)3). ... 적용시켜 주파수 출력의 변화가 0.1% 미만이다. ... 한편 Main Mortor는 제어 Unit에서 내려진 속도지령에 의해 정속주행을 하게 된다.2).
    리포트 | 12페이지 | 1,500원 | 등록일 2008.06.28
  • 74HC138 을 이용한 LED순차점등회로 보고서
    (out) : 출력으로 정시 시는 L, 타이머 기동 중은 H가 된다- RESET (RES) : 타이머 출력을 정지시켜 L로 한다.- CONTROL VOLTAGE (FM) : 6번 ... 이번 과제에서 Output Y2, Y3, Y4는 사용하지 않음을 알 수 있다.6. ... 에 이번 과제에 맞도록 73HC138 intput 과 output 을 보기 좋게 정렬 해 놓았다.
    리포트 | 21페이지 | 2,000원 | 등록일 2007.12.06
  • [전자공학]마이크로컨트롤러를 이용한 범용 자동제어 시스템
    마이크로컨트롤러를 이용한 범용 자동제어 시스템General-purpose Automatic Control System Using Micro-controller朴 佑 慶*? ... 스위칭회로에서 출력되는 사용자 선택신호를 입력받고, 마이크로 컨트롤러 내에 프로그래밍 되어있는 기능선택코드에 따라 C포트를 통해 LED를 제어할 신호를 출력한다. ... 전압준위검출기의 개수에 따라 3 Transistor Output voltage by CDS그림 3은 조도차에 의한 CDS의 동작을 트랜지스터로 제어했을 때 나타나는 전압특성을 나타낸다.R2에
    리포트 | 6페이지 | 3,000원 | 등록일 2007.01.19
  • [컴퓨터과학]컴퓨터구조(폰노이만,비폰노이만 형)
    ),출력장치(Output unit)가 있어야 한다고 했고 또한 그러한 시스템은 2진수를 써서, 기계식이 아니라 전자식으로 작동해야 하며, 연산은 한 번에 하나씩 수행해야 한다고 지적했습니다 ... [그림3]을 참고하면 제어장치가 각 장치의 작업을 지시하고자 명령신호를 보내는 것을 볼 수 있다.즉, 제어 장치는 각종 입출력 장치, 기억 장치, 연산 장치 등의 동작을 제어하며, ... 명령어 처리 순서에 따라 달라지는 여러 종류의 계산 모델이 있다.2) 계산모델의 종류① 제어흐름(Control flow)계산 모델명령어 처리 순서가 프로그램에 기술된 순서에 따라서
    리포트 | 7페이지 | 5,000원 | 등록일 2008.01.31
  • ATmega8535 타이머/카운터 인터럽트
    제어신호는 TCCR2(Timer/Counter Control Register 2)에서 찾을수 있다. ... COM1B0) : 출력비교 모드 1B, 비트 1과 0 COM1B1과 COM1B0 제어 비트는 아래의 T/C1의 모든 출력 핀에 대한 정의를 담당한다.즉, OC1B(출력비교B)에 영향를 ... line.10Clear the OC1X output line(to zero).11Set the OC1X output line(to one).- Bit3(FOC1A) : Force Output
    리포트 | 19페이지 | 3,000원 | 등록일 2007.07.18
  • SSR & SCR & PID 에 관하여
    , 제어하고자 하는 대상의 출력값(output)을 측정하여 이를 원하고자 하는 참조값(reference value) 혹은 설정값(setpoint)과 비교하여 오차(error)를 계산하고 ... 제어기, 공작기, 항온기, 초음파 세척기 등 교통 / 조명제어기 교통신호기, 철도신호기, 전광 표시판, DIMMER CONTROLLER 등 사무자동화(OA) 컴퓨터 주변기기, 복사기 ... 절연 S.S.R 입력과 출력의 전기적 절연을 위해 광소자(PHOTO COUPLER)를 사용하여 입력과 출력간을 절연시키고, 부하 측의 노이즈가 입력 측으로 FEED-BACK되는 것을
    리포트 | 20페이지 | 2,000원 | 등록일 2008.08.24
  • 적외선 송수신기를 이용한 음성 및 영상정보표시기 제작
    무선용 원격 제어 시스템 적용이 가능하다.크 기가로 (75CM) * 세로(60CM) * 높이(35CM) * 중량(5KG)소요금액300,000원판매 가능 금액600,000원비 고? ... )로 하는 마이크로 컨트롤러들을 통칭해서 MCS-51 패밀리(family)라고 한다.마이크로프로세서인 CPU는 보조해주는 주변 칩들이 많이 필요하지만, 마이크로 컨트롤러인 8051의 ... 이 핀들의 입출력은 1과 0이 각각 5V와 0V이다. 이 RXD,TXD핀의 입출력을 RS232C 규격에 맞추어 주는 칩이 MAX232이다.
    리포트 | 33페이지 | 3,000원 | 등록일 2008.12.19
  • 컴퓨터의 개요
    제어 장치 (Control Unit) 프로그램에 따라 명령과 제어 신호를 생성 임시 기억 장소 (Register) 계산 결과를 일시적으로 저장해 둔다 CPU 속도 단위 Hz, MIPS중앙처리장치 ... (output unit)주기억장치 (main memory)중앙처리장치 (central processing unit)산술 및 논리 연산 장치 (arithmetic logical unit ... 정의 계산능력을 가진 모든 장치 (주판, 계산기, etc) 데이터와 명령어를 입력 받아 저장하며, 이를 처리하여 결과를 출력하는 전자 장치 Hardware + Software Hardware
    리포트 | 58페이지 | 1,000원 | 등록일 2008.12.09
  • 관리학
    장치로 또는 SP418 탐측기 Pak 와 관련 하여 혼자 기능하나의 원격 컨트롤러로 다양한 dosimeters 를 조작ISSN 1726 - 5479 20 SELDI 감마 방사능 감지기 ... 12 - 측정값이 digital 과 bar graph 형태로 표시 - dose rate 와 dose 측정 - RS 232 Communication - External pulse output전자 ... - 휴대용 다기능 X-ray, 감마 방사선량계 - 조직등가검출기 - 심부선량측정 H(10) - 감마 베타 선원 탐색 - 짧은 조사시간에서 방사선 측정 가능 - 원거리 측정 , 원격제어
    리포트 | 29페이지 | 1,000원 | 등록일 2009.10.11
  • [기계공학 응용실험]모터제어 실험
    엔코더의 출력 펄스는 축의 회전위치의 절대치를 나타내지는 않고 축의 회전한 각도에 비례한 펄스수가 얻어지는 것이며, 절대치 표시를 수행하는 .③ 모션 제어기(Motion Controller ... Encoder의 pulse 신호를 count하게 되면 모터의 회전 및 직선 변위를 측정할 수 있다.④ Digital I/O (Digital Input Output)제어에 사용되는 다양한 ... 따라서 모터 축에 연결된 엔코더의 A, B 펄스와 전원을 인가하면 원하는 모터의 속도 또는 위치를 제어하기 위한 PWM 신호를 출력하고, 이것을 드라이버를 통해 모터에 입력하면 원하는
    리포트 | 12페이지 | 1,500원 | 등록일 2007.11.03
  • [공학]FSM설계실험-예비보고서
    사용하고자하는 I/O 제어 스위치를 선택하여 설정한다.k. Digital Circuit design Training kit의 전원을 인가한다.l. ... MAX+PLUS 2 > Timing Analyzer를 통해서 입력에 따른 출력이 생성되기까지의 지연값을 찾아서 기록한다.g. ... 설계의 설명을 참고하여 상태도를 작성하고 Behavioral model로 verilog HDL을 이용하여 구현하시오.module TRAFFIC_CONTROLLER(S, clk, C
    리포트 | 4페이지 | 1,000원 | 등록일 2006.12.07
  • [공학]PLL (Phase Locked Loop)
    조절VCO의 Output이 다시 PFD로 들어가 reference clock으로 비교되어 Up Pulse, Down Pulse를 출력Simulation◆ Desired specification2uW ... 있음About PLL● PLL은 다음 그림과 같이 PFD(Phase Frequency Detector), CP(Charge Pump), LF(Loop Filter), VCO(Voltage Controlled ... )◆ 공통 소스 증폭기 특성● 공통 소스 MOSFET 증폭기의 전압이득을 측정.관 련 이 론◆ 드레인 특성 (게이트 제어)● MOSFET의 게이트 부분의 구조 1) 게이트를 이루는
    리포트 | 28페이지 | 3,500원 | 등록일 2007.05.23
  • pspice 의 사용법에 대한 예비보고서
    .☞ 제어메뉴박스(Control Menu Box) : 윈도의 닫기, 크기변경, 응용프로그램의 교체 할래와 같은 디스플레이 상자가 나타난다.◐ Options- Grid on : 화면에 ... Vars : output node name이나 node다. ... 파일에 출력 detail한다.◐ Transient : 시간을 증가시켜 가면서 회로의 전압, 전류, 디지털 회로의 상태 등의 과도상태를 계산한다.◐ Parametric : 모델의 파라메터
    리포트 | 16페이지 | 1,000원 | 등록일 2009.04.15
  • 제어공학_안정도이론과설계(안테나의위치제어시스템예시)
    - Stability of Control Systems -- 목 차 -Ⅰ. 서론Ⅱ. 제어 시스템의 안정도1. 안정도란?2. BIBO stability3. 안정도의 종류4. ... (BIBO;bounded-input bounded-output)입력이 제한되지 않으면 전체응답도 유한하지 않게 되므로 안정도 판별은 불가능하다. ... 제어시스템의 장점은, 임의의 입력에 대한 출력을 분석하여 원하는 제어특성을 가지는 각종 시스템을 설계할 수 있게 하며, 외란보상기능을 가지게 하여 외부의 변수들을 감안한 현실적인 설계를
    리포트 | 10페이지 | 1,000원 | 등록일 2007.05.11
  • Labview를 이용한 DAQ 시스템 구성
    Controls lab CalcRPM.vi의 Block diagram)(그림4. Front panel 의 모터 출력 센싱부 파형)(그림5. ... 모터입력부와 엔코더 출력부를 ELVIS 장비에 인터훼이스 한 다음, LabView 프로그램을 이용하여 DC모터 회전수를 제어한다.3.1 기본 이론※ LabVIEW- LabVIEW란 ... 즉 0.01sec초마다 while loop를 한바퀴씩 돌려주는데 이때 데이터를 계산하고 output으로 데이터가 보여주거나 기록되어진다.
    리포트 | 10페이지 | 2,000원 | 등록일 2007.10.19
  • ATMega8535
    AVR Micro Processor·AVR은 Atmel사에서 만든 RISC 타입의 고속 8비트 마이크로컨트롤러·AVR 8-Bit micro-controller : AVR은 Atmel사의 ... 방향 제어 레지스터이다.DDBn=0 이면, 입력방향.DDBn=1 이면, 출력 방향. ... 방향 제어 레지스터이다.DDDn=0 이면, 입력방향.DDDn=1 이면, 출력 방향.
    리포트 | 12페이지 | 3,000원 | 등록일 2006.11.10
  • [공학]8051을 이용한 single board computer
    마이크로 컨트롤러(Micro Controller)란 무엇인가? ... 마이크로프로세서를 이용한 CPU기능과 일정한 용량의 기억장치(RAM,ROM), 입출력 제어회로 등을 단일의 칩에 모두 내장한 것을 말한다. 1개의 소자로 완전한 1개의 컴퓨터로서의 ... 8051 마이크로 컨트롤러를 이용한single board computer☆ 모니터 프로그램 이란?
    리포트 | 12페이지 | 2,000원 | 등록일 2006.10.15 | 수정일 2014.07.11
  • 시스템 분석 및 설계에 대한 기법과 도구에 대해 기술
    , Process, Feedback, Control□ 시스템의 특성: 목적성, 제어성, 자동성, 종합성□ 시스템의 개발 방법○ 축차적 방법- 시스템의 목적이 명확해야 함- 안정된 기존의 ... 만든 프로그램의 집합○ 정보 처리 시스템의 분류- MIS(경영정보시스템),EDPS(자료처리시스템),SIS(전략정보시스템),DSS(의사결정지원시스템)□ 시스템 기본요소: Input, Output ... 출력등을 설계○ 시스템 구현 : 각종 설계 지침서에 따른 프로그램 개발 단계- 모듈 단위로 코딩, 디버깅- 모듈별 단위 시험○ 시스템 시험 : 프로그램 단위별 통합 및 종합 테스트
    리포트 | 8페이지 | 2,000원 | 등록일 2008.05.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대