• 통큰쿠폰이벤트-통합
  • 통합검색(149,705)
  • 리포트(137,903)
  • 시험자료(5,361)
  • ppt테마(2,034)
  • 방송통신대(1,773)
  • 자기소개서(1,463)
  • 서식(565)
  • 논문(522)
  • 이력서(66)
  • 노하우(18)

"1:4sd" 검색결과 421-440 / 149,705건

  • John J. Craig. Robotics-로보틱스 입문 chapter3 - matlab 연습문제 3
    (theta1)*sin(alpha1) cos(alpha1) cos(alpha1)*d1; 0 0 0 1];T1% i=2 일때의 Talpha2=0;a2=4;d2=0;theta2=sym( ... =0;a3=L2;d3=0;theta3=theta3;L1=4;L2=3;? ... ) cos(alpha2)*d2; 0 0 0 1];% i=3 일때의 Talpha3=0;a3=3;d3=0;theta3=sym('theta3');s3=sin(theta3);s3=sym('
    시험자료 | 28페이지 | 2,000원 | 등록일 2023.10.21
  • 엑셀 백과사전 (실전에 바로 사용 가능한)
    Excel for EveryoneExcel for Everyone#VALUE! ... "여daverage함수 입력셀" 6,425,500 ""=DAVERAGE(I11:M30,L11,C25:D26)"쿠키왕19861027관리" 6,426,000 "남캔디공작19861006관리 ... "남dsum함수 입력셀" 12,851,000 ""=DSUM(I11:M30,L11,C20:D21)"물고기19810527관리" 8,444,000 "여초콜레또19900109인사" 9,772,000
    서식 | 8,000원 | 등록일 2021.04.04 | 수정일 2021.04.22
  • 비뇨기계 의학용어
    d ju?riː? naitr?d??n]혈액뇨 질소4CAPDcontinuous ambulatory peritoneal dialysis[k?ntinju?s ?mbjul?t?? ... d ju?riː? naitr?d??n]4CAPDcontinuous ambulatory peritoneal dialysis[k?ntinju?s ?mbjul?t??ːri per?t? ... biːtis mellitus]당뇨병TEST* 약어1ADHantidiuretic hormone[ant?d??(j)??r?t?k ?h?ːm??
    리포트 | 7페이지 | 2,000원 | 등록일 2024.02.03
  • [A+ 성적증명] 건국대 컴퓨터프로그래밍 1 Perfect C 6주차 과제(5장 예제,연습문제)
    과제(3) 6주차 부여 5장 연산자 실습 예제 5-1 코드: # include int main() { printf("3 + 4 ==> %d\n", 3 + 4); printf("3.4 ... 실습 예제 5-5 코드: #include int main() { printf("(3 > 4) 결과값: %d\n", (3 > 4)); printf("(3 < 4.0) 결과값: %d\n ... ", (3 < 4.0)); printf("('a' > "); scanf("%d%d", &a, &b); printf("최대값: %d ", (a > b) ?
    리포트 | 19페이지 | 1,500원 | 등록일 2022.06.25 | 수정일 2024.07.15
  • [중앙대학교 3학년 1학기 전자회로설계실습] 예비보고서4 구매 시 절대 후회 없음(A+자료)
    (D) 위의 결과를 이용하여V _{OV} =0.6`V인 경우,k _{n},g _{m}을 구하고 2.1의 결과와 비교하여라.PSPICE simulation의 cursor 기능을 사용하여V ... 여기서V _{GS} =4.5V일 때R _{DS(ON)} =1.8 OMEGA 이기 때문에V _{OV} =4.5V-V _{T} =2.4V로 해주었다.위에서 구한 값을 이용해g _{m} ... 따라서,k _{n} =1/V _{OV} R _{DS(ON)} = {1} over {2.4V TIMES 1.8 OMEGA } =0.231A/V ^{2}임을 알 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.08.28
  • 전자공학실험 1장 PN 접합 다이오드 및 제너 다이오드 A+ 결과보고서
    :V _{DO}는 교재의 그림1-6을 참고하여 결정할 수 있는데, 측정 결과를 바탕으로 작성한 표 1-1을 보면V _{sig}가 1V이상일 때부터 (V _{D}가 0.I _{D}는V ... _{sig}=1V일 때의I _{D}와 Vsig=900mV일 때의 ID의 차이이다) 그리고 이를 이용하여{TRIANGLE V _{D}} over {TRIANGLE I _{D}} 로r ... 그리고 교재의 [그림1-4]에 의해i는 표1-1의I _{D}에 대응,v는 표1-1의V _{D}에 대응하며, 상온에서V _{T}는 약 25mV이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2024.05.13
  • A+ 아주대학교 물리학실험 1주차 측정과 오차 결과보고서
    d _{i}^{2}} over {N-1}},sqrt {{sum _{i=1} ^{10} d _{i}^{2}} over {10-1}}=sqrt {{3728.4} over {9}}APPROX ... {i}} over {eqalign{8}} ={d _{1} +d _{2} +d _{3} +d _{4} +d _{5} +d _{6} +d _{7} +d _{8}} over {8}APPROX ... _{2} +d _{3} +d _{4} +d _{5} +d _{6} +d _{7} +d _{8}} over {8}APPROX 19.96mm표준오차sigma _{d _{av}} = 0mm
    리포트 | 12페이지 | 2,000원 | 등록일 2022.09.28 | 수정일 2022.11.21
  • 기체-액체의 확산계수 측정
    확대경 측정 시 오차 . 4. Acetone 의 강한 휘발성 . 액체확산실험 1. NaCl 용액의 농도 오차 . 2. 확산 cell 의 기포 제거 . ... (cm 2 /s) P : 압력 (1.013bar) M A : 공기의 분자량 (29) T : 온 도 (K) M B : 아세톤의 분자량 (58.08) Ω D : 충돌적분 (0.9) σ ... 기상에서의 전체 몰 밀도 : 4.
    리포트 | 15페이지 | 2,500원 | 등록일 2023.10.23
  • 수원대학교 A+ 화학공학응용및실험 유로 단면적 변화에 의한 압력손실 및 유량 측정 결과레포트
    {b} = {pi} over {4} D ^{2} =1.07 TIMES10 ^{-4} m ^{2}I-1. ... a} = {pi} over {4} D ^{2} =3.14 TIMES10 ^{-4} m ^{2}A _{b} = {pi} over {4} D ^{2} =1.33 TIMES10 ^{-4} ... 0.0204mL=6.5cmA= {pi} over {4} D ^{2} =3.27 TIMES10 ^{-4} m ^{2}E-1. 90° L-BOW/ 유량 10 LPMQ(배출유량)=200mL
    리포트 | 41페이지 | 3,000원 | 등록일 2024.01.17
  • 경북대학교병원(간호직_2024) 실제 면접 질문 모음집 및 꿀팁
    /wj/HP87/zz/W/9i/+D/4f/l/+b/{}..FILE:BinData/image1.png..FILE:Contents/section0.xml경북대학병원_간호직(2024)면접뽑기 ... [질문10]1) arm save환자 처방 나면 어느 팔에 arm save 할것인가?2) 유방절제술 하는 환자가 오른팔에 arm save 나면 어느 팔에 혈압 잴 것인지? ... /TD+MILbVdwB/wX/Cf8M/w7/Gv8b/x7/H/89/z7/QP9d/17/Y/9l/w==JAAoADwAWwBcAHsAogCjAKQApQCnAPIJ8wk/DhggHCCgIKEgoiCjIKQgpSCmIKcgqCCpIKogqyCsIAgwCjAMMA4wEDAUMBYwGDAaMILbVNwE
    자기소개서 | 8페이지 | 4,000원 | 등록일 2024.04.28
  • 인하대학교 사회인프라공학과 생태수리학 보고서(오리피스 실험)
    {bar{C _{d}}} = {slope(기울기)} over {A _{2} sqrt {2g}} = {0.0003} over {1.327 TIMES 10 ^{-4} sqrt {2 TIMES ... {bold{h _{act}}}VolumeDurationMethod #1Method #2ActualDischargeTheoreticalDischarge수식입니다.boldC _{d}수식입니다.boldC ... 0.013수식입니다.mOrifice Area(수식입니다.m ^{2}) =수식입니다.A _{2}= 1.327수식입니다.TIMES 10 ^{-4}유량계수수식입니다.C _{d}= 실제유량
    리포트 | 7페이지 | 2,500원 | 등록일 2024.05.18
  • [A+] 기계공학실험 관로마찰실험 레포트 보고서 과제
    {dr} = {1} over {2 mu} {dP} over {dx} r+ {C _{1}} over {r}u(r)= {1} over {4 {D} {rhoV _{avg}^{2}} over ... 실험 장치그림 2 관로 마찰 실험 단면도표 1 관로 마찰 실험 Item-Details4. ... Q/A2)/2=0.8214m/s, A1=145.3mm^2,A2=539.1mm^2)D=0.0136m로 진행반복법을 통해,f RARROW {cases{f= {64} over {Re} `
    리포트 | 10페이지 | 3,000원 | 등록일 2023.07.14
  • 확률의개념과응용 출석수업과제물 (2023, 만점)
    두 코드 모두 set.seed() 함수에 학번 끝 4자리인 8718을 넣어 난수를 생성하여 진행하였으며, 같은 스크립트 안에서 R코드를 실행하여 먼저 작성한 코드의 par(mfrow ... 단, 학번 끝 4자리를 seed로 설정. (10점)R코드 프로그래밍과 히스토그램 작성을 위해, ChatGPT 코드와 Kaggle에서 참고한 자료를 사용하여 비교분석을 하였다. ... 1 – P(T+|D) = 0.02, P(T+|D-) = 0.09, P(T-|D-) = 1 – P(T+|D-) = 0.91이라는 정보를 얻을 수 있었고, 이를 표로 표현하면 다음과
    방송통신대 | 7페이지 | 4,000원 | 등록일 2023.09.06 | 수정일 2023.09.11
  • 어플라이드머트리얼즈 CS 엔지니어 합격자소서 / 인증 有
    1. ... 현업에서 사용되던 AMK의 장비를 직접 보면서 작동원리에 대해 배울 수 있었고 PECVD 장비인 ‘Producer SE’와 krf scanner 장비인 ‘NSR-S204B’ 장비를 ... [분석력]‘공정 개선 모의 프로젝트’를 통해 분석력을 길렀습니다. 4M을 기준으로 공정을 세분화하고 각 요소마다 필요한 비용, 시간을 계산했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.07.14
  • 실험 09_MOSFET 기본 특성 결과보고서
    [표 9-4] - 특성 확인을 위한 측정 데이터(측정 값)V _{eqalign{sig#}} 전압V _{GS} 전압V _{DS} 전압드레인 전류(I _{D})동작 영역0V-1.364mV6.053V0.0002mAcut-off1V1.014V6.052V0.0004mA포화2V2.016V5.786V10.321mA포화3V2.983V3.36V90.032mA포화4V4.044V0.744V171.7mA포화5V4.990V0.547V179.21mA포화6V6.015V0.470V181.76mA포화고찰 ... [표 9-7] - 특성 확인을 위한 측정 데이터(측정 값)V _{eqalign{sig#}} 전압V _{SG} 전압V _{SD} 전압드레인 전류(I _{D})동작 영역0V6.041V0.126mV0.755mAtriode1V6.04V0.148mV0.755mAtriode2V4.01V0.477mV0.755mAtriode3V3.04V3.63V0.302mA포화4V1.972V6.034V0.0001mAcut-off5V1.022V6.035V0.0002mAcut-off6V22.535mV6.034V0.0003mAcut-off5 ... ,V _{sig}전압을 0V, 12V, 3V~9V는 500mV 간격으로 변화시키면서V _{SG}(V _{t} 전압), 드레인 전류I _{D}를 측정하여 [표 9-4]에 기록하시오.
    리포트 | 8페이지 | 1,500원 | 등록일 2023.01.31
  • 울산대학교 전기전자실험 12. JFET 특성 및 바이어스 회로
    3.66%V _{D} (V)I _{S} (mA)I _{D} (mA)이론측정이론측정이론측정12.06412.051.641.71.641.7오차 0.17%3.66%3.66%Q4. ... _{GS}가 -4 부터는V _{P} = -3을 초과하여V _{R}이 0으로 측정됨Q1.V _{GS}값이 Pinch-off에 가까울수록 어떤 변화가 발생하는가? ... - 앞의 회로에서는I _{D`=`} I _{DSS} (1- {V _{GS}} over {V _{P}} ) ^{2} 이고이 회로에서V _{GS} = -I _{D} R _{S} 이므로I
    리포트 | 3페이지 | 1,500원 | 등록일 2024.03.23 | 수정일 2024.03.25
  • 복통 시나리오를 통한 간호과정 적용
    1V IV b.i.d- MOTILIUM 10mg 1T PO t.i.d- Smecta 1P PO (prn) - cefolatam 1V IV b.i.d간호진단대장염, 혈액검사에서의 이상과 ... 1000ml IV QD- K-contin 600mg 1T PO QD- Gaster 1V IV b.i.d- MOTILIUM 10mg 1T PO t.i.d- Smecta 1P PO ( ... - Albumin 3.2- CRP 1.2- 대장염, 혈액검사에서의 이상과 관련된 상해의 위험.Urine analysis- pH 5.3- protein 3+- Normal saline
    방송통신대 | 4페이지 | 3,000원 | 등록일 2024.07.04
  • 웨스턴 호텔 자료 조사 및 자소서 팁
    91%90%eb%93%9c%eb%a0%a4%eb%9d%bc-%ea%b7%b8%eb%9f%bc-%ec%97%b4%eb%a6%b4-%ea%b2%83%ec%9d%b4%eb%8b%a4/ 인재상 ... 서울 웨스틴호텔 201603018 1-2 박건아 Sample of the architectural designation standard1. 회사개요 2. ... 호텔 자소서 쓰기 및 분석인용자료 복지제도 http://snaptime.edaily.co.kr/2018/09/%ed%98%b8%ed%85%94%ec%8b%a0%eb%9d%bc%eb%
    자기소개서 | 17페이지 | 3,000원 | 등록일 2022.04.25
  • 2021-1학기 데이터시각화 기말과제
    BC-%EC%97%B0-%EC%8A%A4%EB%85%B8%EC%9D%98-%EC%BD%9C%EB%A0%88%EB%9D%BC-%EC%A7%80%EB%8F%841/))3. ... 9C%EB%93%9C_%EC%8A%A4%ED%8A%B8%EB%A6%AC%ED%8A%B8%EC%9D%98_%EC%BD%9C%EB%A0%88%EB%9D%BC_%EC%9C%A0%ED%96% ... EC%97%AD%EC%82%AC%EB%A5%BC-%EC%97%B0-%EC%8A%A4%EB%85%B8%EC%9D%98-%EC%BD%9C%EB%A0%88%EB%9D%BC-%EC%A7%80%
    방송통신대 | 7페이지 | 3,500원 | 등록일 2022.06.27 | 수정일 2022.12.06
  • diffraction of electrons
    10}오차율0.292%4.09%2) 실험2U(kV)D _{1}(m)D _{2}(m)lambda _{계산}(m)r _{1}(m)r {} LSUB {2}(m)d _{1}(m)d _{2} ... 10 ^{-10}e=1.6*10 ^{-19} C#m=`9.1*10 ^{-31} Kg#h=6.6*10 ^{-34} J BULLET s#L`=13.5cm`,d SIMEQ {L`} over ... 이 경우 특히 오차율이 적은 실험 1을 살펴보면D=k {1} over {sqrt {U}}에 의해서k _{1}과k _{2}를 구하면 와k _{1} =1.55m sqrt {V} ,k _
    리포트 | 4페이지 | 1,800원 | 등록일 2023.05.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:26 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대