• 통큰쿠폰이벤트-통합
  • 통합검색(454)
  • 리포트(437)
  • 시험자료(9)
  • 자기소개서(6)
  • 방송통신대(2)

"4bit adder 회로설계" 검색결과 421-440 / 454건

  • [컴퓨터 구조 및 언어][Quartus 2,max]Verilog HDL 이용한 32Bit Arithmetic Logic Unit(ALU)설계(mips)와 분석
    있으며, ALU의 내부는 Full_Adder와 Mux_4to1 그리고 overflow_detection로 ////구성되어있다. 32Bit_ALU를 통합적으로 구성하고 세부 회로를 ... ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 (0) ; |ALU_32Bit|ALU_unit:u20|full_adder:adder0 ... ("Verilog HDL"32Bit Arithmetic Logic Unit(ALU) 설계하기 )담 당학 과학 번성 명제출일32Bit Arithmetic Logic Unit(ALU)
    리포트 | 40페이지 | 2,000원 | 등록일 2005.10.05
  • [회로실험] 전기회로 실험 멀티심을 이용한 전가산기(Fulladder) 실험 결과리포트(예비포함)
    SoftWare 설치1bit Full Adder 회로의 동작을 logic converter와 logic analyzer를 이용하여 확인준비된 진리표와 일치하는 확인결과 화면 capture4bit ... 계산될 수 있음을 알 수 있다.그림 3에 4비트 Look-ahead Carry 가산기 회로를 나타내었다. ... Adder 회로 설계Binary Full Adder 이용데이터 입력, 계산 결과 확인입력 : Switch 이용입력: Word generator 이용출력: 7-segment display를
    리포트 | 21페이지 | 2,000원 | 등록일 2004.06.09
  • [디지털 논리] 4-bits adder를 이용한 곱셈기 설계
    디지털 논리회로 실험Project #29 반 9 조제출일 : 2004. 11 .22, 월0041187 권용범0041121 최인영0340508 김석현*Adder를 이용한 곱셈기 설계* ... 양수 2개의 계산 과정을 보면 다음과 같다.그림 input X, Y, output Z에 대한 곱셈 과정그림 곱셈 과정4-bits adder를 이용한 곱셈기 설계◎ 코 드(code) ... 결과분석 및 토론이전 프로젝트에서 설계adder를 이용해서 곱셈기를 만들어 보았다.
    리포트 | 5페이지 | 5,000원 | 등록일 2005.01.08 | 수정일 2021.05.03
  • 하드웨어 기초 지식
    하나는 미세공정으로 전류가 흐르는 CPU 회로도의 전선의 폭과 길이를 줄이는 방법입니다. ... 사용자들이 가장 민감하게 생각하는 부분이 프로펠러인데 프로펠러 설계에 따라서 팬 소리가 달라지기 때문입니다. ... 대표모델 : Geforce4 Ti4200, Geforce4 Ti4400, Geforce4 Ti4600 FX - Geforce5 시리즈 모델의 단독적인 이름입니다.
    리포트 | 47페이지 | 1,000원 | 등록일 2008.03.04 | 수정일 2014.03.17
  • [논리회로]가산기
    반가산기(Half Adder) - 1자리의 2진수를 더하는 회로비트씩 두 개의 2진수를 더하는 경우 4가지 상태의 값이 나온다. ... 나는 실험 5번의 회로설계하므로서 반·전가산기를 동시에 수행하는 회로를 직접 만들었다. 나는 이 회로를 보고, 모두 NAND 게이트로 설계 되었음을 알 수 있었다. ... 입력은 두 개의 2진수 비트로 구성되고, 출력은 이들 두 개의 비트의 합과 자리올림(carry)으로 구성된다.
    리포트 | 8페이지 | 1,500원 | 등록일 2004.08.27
  • 가산기
    이와 같이 세 비트의 덧셈을 집행하는 조합 회로를 전가산기(full adder:FA)라 하고, 캐리를 생각하지 않고 다만 두 비트만을 더하는 조합 회로를 반가산기(half adder ... 출력 S는 필요한 합 비트들을 구성한다. 4-비트 전가산기 회로를 IC로 제작할 때, 가수와 피가수 비트들을 위해 각각 4개씩의 핀, 합 비트들을 위해 4개의 핀, 그리고 입출력 캐리를 ... 전가산기 회로들로 구성된 4-비트 2진 병렬가산기 이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2005.04.08
  • [정보통신실습] 4-bit 2진 가감산기 회로 조립 및 측정 작업
    그림 1-6에 4비트 가감산기 회로를 나타내었다. ... ▶ 작품명 : 4-bit 2진 가감산기 회로 조립 및 측정 작업▶ 학습 목표?IC 7483 동작을 이해하고 설명할 수 있다.?가산기/감산기를 조립하고 동작할 수 있다.? ... 따라서 실제 회로에서는 주로 감산기를 별도로 설계하지 않고 가산기를 감산기로 사용한다.가감산기 회로는 제어신호에 따라 덧셈을 수행하거나 뻴셈을 수행하는 회로를 말한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2004.05.26
  • [전자공학]시뮬레이션을 통한 논리게이트의 이해
    포함· 2n개의 입력과 m개의 출력을 갖는 조합회로· 조합회로설계- 말로 된 문제로부터 논리도를 구성- 설계절차문제가 제시된다. ... 집합의 개념으로 보면 (a ^b)' 이므로 위의 시뮬레이션을 NAND회로 4개로 구성하면 다음과 같다.# 실험 2. ... 작성하고 작성한 진리표를 분석하여 이 회로와 기능적으로 동일하되 4개의 NAN-{입력출력abx000011101110- 부울대수는 수학적 법칙을 만족 시키므로 집합의 개념을 도입해서
    리포트 | 10페이지 | 1,000원 | 등록일 2005.04.22
  • [VHDL] 가산기
    Sum Adder(11) Look-ahead Carry Adder위의 Entity Block Diagram과 같이 4 bit CLA 가산기는 4bit의 2 data와 1-bit carry ... in을 입력으로 받아 4bit의 합과 Carry out을 출력하는 회로로서 그 동작은 기존 4bit 가산기와 같지만 Carry를 미리 계산하여 처리속도를 높인다는 의미로 Carry ... 병렬가산을 할 수 있게 되는 것이다.아래 그림에 4비트 Look-ahead Carry 가산기 회로를 나타내었다.
    리포트 | 18페이지 | 3,000원 | 등록일 2003.08.13
  • [회로이론] Full-adder
    다음시간에는 디코더 를 설계하는데 논리회로책을 다시 한 번 읽어봐야겠다.혼자서 Full Adder 4비트 덧셈기를 구성해 보았다.{=> 일단 논리회로 시간에 배웠던 4비트 전가산기를 ... 결과 & 검토Full Adder의 내용은 선수과목인 논리회로 시간에 들은 기억이 있다. ... 일단 a, b는 입력 비트벡터로 s는 출력 비트벡터로 설정해 주었다.4비트 이므로 3 downto 0 로 설정을 했다.
    리포트 | 6페이지 | 1,000원 | 등록일 2003.04.10
  • [회로이론] 디지탈컴퓨터
    - 2개의 비트를 각각 x,y라 하고, 합은 S, 캐리는 Ci라 하자◎ 전가산기(Full Adder)?- 3개의 입력비트의 합을 구하는 회로? ... - x,y는 더해지는 비트이고 z는 입력캐리이다?- S는 합, C는 더할 때 발생한 출력캐리이다○ 설계과정????◎ 플립플롭?- 순차논리회로의 기본회로? ... 부울 함수를 얻는다▷ 논리도를 그린다◎ 반가산기(Half-Adder)○ 두개의 서로 다른 비트를 산술적으로 가산하는 조합회로?
    리포트 | 10페이지 | 1,000원 | 등록일 2004.06.02
  • [전자공학 ] VHDL으로 7 segment 디코더 설계
    설계자에 의해 디코더라 명명되었지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다. ... 여기서 입력값이 최대 6 이므로, 7-Segment에 표시되는 숫자는 0∼6 까지의 범위라는 걸 기억하자.결국 2bit-2Input Adder를 사용해서 7-Segment의 불을 키는게 ... f, dp는 "0"을 출력하고, common 단자 d, e, g 는 "0", c, f, d, p는 "1"을 출력하고, common 단자에는 "1"을 출력하여야 한다.▲ CODE--adder2bit.vhdlibrary
    리포트 | 8페이지 | 1,500원 | 등록일 2004.03.11 | 수정일 2021.12.21
  • [컴퓨터과학] 컴퓨터 과학 중간대체 레포트(10문제)
    조합 논리 회로의 가산기(adder)에 대해 설명하시오.가산기란 컴퓨터의 연산 기능 중에 덧셈의 기능을 수행하는 것으로 두 숫자의 비트를 함께 더하는 기본적인 전자 회로이고, 레지스터에 ... 출력하는 회로이고, 전가산기는 두 개의 2진수를 자리 올림수를 더하여 합과 자리올림수를 산출하기 위한 가산기 회로이며, 아래 자리수의 올림 수까지 포함하여 세 비트를 더하는 논리회로이다 ... BCD(Binary Coded Decimal) 코드에 대해 설명하시오.BCD코드는 모든 코드의 기본이 되는 코드로, 4비트의 2진수를 이용하여 1자리의 10진수를 표현한 코드방식을
    리포트 | 11페이지 | 1,000원 | 등록일 2004.05.16
  • [경영정보시스템] 중앙처리장치(CPU)의 세 가지 핵심 모듈(Module)을 제시하고, 이 모듈들의 역할을 간략히 설명하시오.
    여기서 호프 박사는 탁상용 계산기에 쓰일 소형전자부품의 설계를 담당하게 되었다. 그 당시의 설계기술로 계산기의 여러 기능을 수행하기 위해서는 여러 개의 칩이 필요했다. ... {누산기(accumulator)데이터 레지스터(data register)가산기(adder)상태 레지스터(status register)오스템 ... 수- CPU 내의 다른 하드웨어 요소들의 비트 수와 같아야 한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2004.11.16
  • [asic] slice adder
    기본적인 이론1 2BIT SLICE ADDER1BIT FULL ADDER2개를 직렬로 연결하여 2비트 덧셈을 계산하기 위하여 사용하는 회로이다. 2비트 덧셈기에서 X, Y, A, B는 ... 설계하고자 한다. ... 문제 설명VHDL 프로그램을 사용하여 FULL ADDER 2개를 이용하여 2BIT SLICE ADDER를 구성하고 SLICE ADDER와 3 X 7 DECODER를 만들어 연결한후에
    리포트 | 8페이지 | 1,000원 | 등록일 2003.03.28
  • [디지털 공학] 64비트 CLA
    look ahead adder설계해 보자.방법 1) 1 level CLA다음은 4비트 single level의 CLA를 나타내고 있다.이 4비트 CLA를 연결함으로써 64비트의 ... 좀더 구체화해 나타낸다면 다음의 그림을 통해 나타낼 수 있다.4bit CLA 16bit CLA64bit CLA4bit CLA16bit CLA ⇒ 각 1 level64bit CLA모든 ... 1 level CLA설계가 가능하다.다음의 그림이 64비트 CLA를 나타내며 4비트 CLA를 병렬로 연결해 만들어 진다.방법 2) 2 level CLA64비트 CLA를 만들기 위해
    리포트 | 4페이지 | 1,000원 | 등록일 2003.06.03
  • [정보이용론] 유비쿼터스(ubiquiters)
    *컴퓨터의 급속한 발전의 시초1960년대IC (집적회로)나노초*초 소형화,경량화,고속화*멀티유저 시스템 최초 사용*시스템의 설계나 제작 간단1970년대LSI(고밀도집적회로)피코초*연산속도 ... 윈도우에서 인증하는 최신드라이버를 자동 검색하는 기능도 추가되어 좀더 확실하게, 하드웨어를 설치, 수정할 수 있게 되었다.4. bit, Byte, CPU, RAM, ROM, File ... 이 0 또는 1이 각각 하나의 비트가 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2005.04.08
  • [디지털회로실험] 디지털회로실험
    감산기의 동작을 확인한다.(4) 가산과 감산을 할 수 있는 회로설계하는 방법을 익힌다. ... (6) 그림6-16은 2의 보수를 이용한 2진 4-bit 전 감산기와 전 가산기 회로를 표현했다. ... 병렬 가산기 회로로써 가능함을 논리적으로 검토하여 보자.(8) 그림 6-16의 2의 보수를 이용한 2진 4-bit 전 가산기와 전 감산기 회로에서 실험한 결과 치 표 6-10과 표
    리포트 | 6페이지 | 1,000원 | 등록일 2004.03.09
  • [컴퓨터의 이해, 컴퓨터 활용]컴퓨터 부품 소개 및 용어 정리
    프레스캇 506인텔 펜티엄4 프레스캇 2.4A코어 종류 [32bit/64bit] Prescott코어 전압 1.40V제조 공정 90nmL1 캐시 사이즈 16KBL2 캐시 사이즈 1MB시스템 ... 논리연산장치(ALU)는 각종 덧셈을 수행하고 결과를 수행하는 가산기(adder)와 산술과 논리연산의 결과를 일시적으로 기억하는 레지스터인 누산기(accumulater), 중앙처리장치에 ... 램버스D램은 미국 램버스사가 개발한 고속D램으로 램버스 인터페이스 회로를 사용해 속도를 크게 개선했다.DDR램은 SD램과 구조가 같지만 데이터가 상승구간과 하강구간 양쪽 모드에서 동시에
    리포트 | 10페이지 | 1,000원 | 등록일 2006.04.07
  • [디지털] BCD 계산기
    입력부 연산부 출력부전체회로도연산표시부입출력부멀티플렉서가산기감산기곱셈기-1곱셈기-2곱셈기-3곱셈기-4연산부분 알고리즘기본적으로 74LS283 4Bit Full Adder칩을 사용.가산기 ... , 감산기, 곱셈기 각 연산마다 나올수 있는 경우의 수를 나누어 줌.각 경우의 수에 따른 보조적인 보정기 회로 설계.가산기 알고리즘17 55 720001 0111 0101 0101 ... 0110 11000111 0010`잘못된 답원하는 답보정기 회로가산기 보정회로감산기 알고리즘46 27 191011 1001(보수) 0010 0111 1111 0001 0000 1110
    리포트 | 22페이지 | 1,000원 | 등록일 2003.03.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대