• 통큰쿠폰이벤트-통합
  • 통합검색(862)
  • 리포트(740)
  • 시험자료(81)
  • 방송통신대(26)
  • 자기소개서(7)
  • 논문(6)
  • 이력서(1)
  • 노하우(1)

"C++ 배열 입력 및 출력" 검색결과 421-440 / 862건

  • AES, ARIA, SEED 조사보고서
    c.○ 여기서, B,C는 8x8 정칙 행렬이며 b,c는 8x1 행렬임○ S-Box의 값들은 모두 16진법으로 표현하는데, S-Box에 입력되는 8비트 값이 16진법으로 ‘xy'이면, ... 12/14/16(키 크기에 따라 다름)○ 위의 사양을 블록 단위(8 Bit)로 정리하면 아래의 표와 같음Nb(입, 출력 블록 크기)Nk(입력 키 블록 크기)Nr(라운드 수)ARIA- ... 개요□ 정의○ 경량 환경 하드웨어 구현을 위해 최적화된, Involutional SPN 구조를 가지는 범용 블록 암호 알고리즘○ 경량 환경 하드웨어에서의 효율성 향상을 위해
    리포트 | 15페이지 | 2,000원 | 등록일 2012.01.02
  • MATLAB(매트랩)의 기초 사용법
    크기의 벡터를 원소끼리 사칙연산 “ . “ 를 사용행렬의 입력다차원 행렬A(:,:,1) 1번째 페이지에 2차원 배열인 행렬을 가지고 있는 3차원 배열A(:,:,1)A(:,:,2)A ... 사용 예벡터와 행렬의 표현 계산벡터의 입력변수 = 지정 값등간격 벡터 만들기벡터 = [초기값 : 증가율 : 마지막 값]등간격 벡터 만들기변수 = linspace(초기값,종료값, ... window에 입력한다functionfunctionfunction 문의 정의 % function의 기능을 서술 function [출력1, 출력2, …, 출력M] = functireturnfunctionMATLAB
    리포트 | 78페이지 | 2,000원 | 등록일 2010.11.24
  • 공학계산기 레포트.
    배열간의 사칙연산이가능하다.⑤ 단순 변수와 배열 변수 파일 저장 읽어오기 기능save file_name, load file_name 이란 입력을 통해 file_name 이란 파일을저장하거나 ... 저장가능하며, 단일변수 값의 확인 뿐아니라 다른 연산에 직접 사용가능하다.④ 배열 변수 선언 사용(배열 값의 총 합, 곱, 평균값, 표준편차 계산을 위한ADD(), MUL(), ... 종료시에는 단일, 배열 변수 메모리를 해제하는 free_var 함수를 호출한다.void preprocess(char *input);입력 받은 수식에 단일 변수, 배열 변수가 사용되는
    리포트 | 8페이지 | 1,000원 | 등록일 2010.11.12
  • Atmega128를 이용한 LED잔상기
    동작을 보게 되면 USART를 통하여 키보드로 입력하는 숫자 알파벳이 LED잔상으로 표시된다. ... 하지만 아쉬운 점이 남는 것은 바로 여러개의 문자를 출력하기 위해서는 소스파일에서 배열을 다시 선언해주고 16진수로 변화해 주어야하고 글씨의 양이 많아질수록 딜레이를 줄어야 한다는 ... PIC1684의 기초 +α - 이희문 (저)?
    리포트 | 31페이지 | 10,000원 | 등록일 2013.11.25 | 수정일 2017.04.14
  • op_amp의_데이터시트_해석_방법
    차동 증폭기가 두 입력 (+ -)에 공통되는 신호 부를 통과시키지 않는 기능·Output Short Circuit Current : 단락(Short)이 일어났을 때, 전원 회로에서 ... 핀 별로 몇 번 핀이 어떠한 역할을 하는지 한 눈에 볼 수 돼 있다.TL072의 핀 배열은 1,7번 핀이 출력 1,2고 2,6번 핀이 반전 입력, 3,5번 핀이 비반전입력 4,8번 ... 넘어설 경우 파괴, 특성의 변화가 있을 수 있음.Vcc-공급전압:18VVi -입력전압:15V-차동입력전압:30V-전력소모:680mW-작동온도:TL072C,AC,BC는 0~70℃TL072I
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.11
  • 전자회로실험
    측정 :c) 입력 신호를 0으로 하고, V2를 +15V로 설정한 후, VC=5V가 될 때까지 V1을 키우고, 이 때 V1을 측정하시오.d) 이제, 노드 I에서 주파수 1kHz의 1V ... VPP인 사인파를 입력하고, 노드 A와 C의 신호를 측정하시오. ... b)핀 배열, 기판-방향의 기호;c)핀 배열, 간략화한 모습Ⅲ 기초 이론교과서 5.1, 5.2, 5.4, 5.5, 5.6 (4th Ed.)교과서 4.1, 4.2, 4.3, 4.4,
    리포트 | 6페이지 | 2,000원 | 등록일 2011.04.29 | 수정일 2016.08.22
  • [자바]【A+】예외처리
    들어왔을 경우에는 이에 대한 경고 메시지를 출력하고 다시 입력하게끔 하라연습문제(함께하기)import java.util.*; public class InputException { ... 다시 입력하세요. ); } } for (int i=2; i num; i++) { i f (num % i == 0) { isPrime = false; } } if (isPrime) System.out.println ... 예외 처리예외 처리 방법 try/catch 블록 예외 선언 방법위험한 행동예상치 못한 상황 파일열기를 하였는데, 파일이 없는 경우 배열의 인덱스가 범위를 벗어나는 경우 장치를 사용할
    리포트 | 18페이지 | 1,000원 | 등록일 2011.07.15
  • [실험3] 정궤환 회로 예비보고서. 시뮬레이션 자료 포함
    위의 시뮬레이션에서 보듯이 다시 입력 전압을 감소시키면 VTL인 -7.5[V]이하로 떨어질때 출력 전압이 반대로 플러스로 가는것을 볼수있다. ... 방전을 하면서 주기적으로 자신의 상태를 바꾸게끔 회로를 배열함으로써 입력을 가하게된다. 구형파형 발생시의 의 공식은이다. ... 시뮬레이션 결과 분석사각파 발생회로 시뮬레이션 회로 사진R1=10kΩ,C1=0.05 F 일때 회로R1=22kΩ,C1=0.05 F 일때 회로R1=4.7kΩ,C1=0.05 F 일때
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.04
  • 논리회로 설계 구현-프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계 구현
    A, B, C, D, E에 입력을 표와 같이 변화 시키면서 출력 상태를 기록한다. ... A, B, C입력을 표와 같이 변화 시키면서 출력을 기록한다.실험내용실험 3. ... 입력 A의 상태를 표와 같이 변화시키면서 출력 상태를 기록하고, 입력 A에 1kHz, 5V인 구형파를 인가하였을 때 X에서의 출력파형을 오실로스코프로 관찰하여 그린다.실험내용실험 2
    리포트 | 76페이지 | 4,000원 | 등록일 2010.09.13 | 수정일 2014.11.20
  • [자바]【A+】변수
    경기가 종료되면 그때까지의 승패정보를 출력해 줍니다.입력과 비교앞의 문제를 풀기 위해서는 입력을 받아 계속할 지의 여부를 판단할 수 있어야 합니다. ... 입/출력 예: 다음 슬라이드 (입력은 붉은 글씨)- 1회전 - 유저 : (1, 3) 컴퓨터 : (3, 5) 컴퓨터 승! 계속하시겠습니까? ... 출력 예 =프로그래밍 예제public class Coin { int face; // 1은 앞면, 0이면 뒷면 public Coin() { face = 0; } public void
    리포트 | 45페이지 | 1,000원 | 등록일 2011.07.15
  • [Lab#4]7-Segment LED Display 실습
    -먼저 아래 그림과 같이 #3핀(또는 #8핀)에 +5V를 연결하고, 150저항을 거쳐 GND와 segment 핀을 하나씩 연결하여 출력을 확인하면서 아래 표를 정리한다.핀 번호12345678910비 ... )두 숫자가 동시 입력할 경우에는 큰 수가 우선하여 출력된다.3)해당 10진수의 active-Low 입력에서, BCD 코드의 반전 출력이 되고 있다. ... : 4비트 BCD코드로 입력된다, 즉 D(=), C(=), B(=), A(=) 입력으로 작용한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • 인간의 시스템제어
    자동차 경 주 관람, 종이 위에 그려진 선을 따라 보는 것 (1) 추적 작업의 입력출력입력출력 ③추적 작업의 추종표시와 보정표시인간의 제어기능 - 추적 작업(연속제어시스템 ... 반응시간 –인간의 제어기능 - 양립성(compatibility) (1) 공간적 양립성 ② 표시장치와 제어장치의 배열 - 버너와 버너 제어장치의 배열조합 –인간의 제어기능 - 양립성 ... ) 인간의 출력을 기계의 입력으로 전환하는 기계적 장치 - 제어장치의 기능과 유형 (1) 제어장치의 기능 ; 어떤 기계나 메커니즘, 시스템에 제어정보를 전달하는 일 • 이산적 정보:
    리포트 | 17페이지 | 2,000원 | 등록일 2010.09.22
  • 아주대 프기 프로그래밍 과제
    .>>");scanf("%d",&(data[i].cash));i++;}}void del_data(user_data*data)//사용자삭제함수 정의{int del;printf("삭제하실 ... 자산 거래내역을 조회하는 함수로, 구조체에 저장된 사용자의 보유주식수와 보유현금량을 출력한다. ... 사용자의 번호를 입력하세요.>>");scanf("%d", &del);}void out_data(user_data*data)//사용자정보출력함수 정의{int search;printf
    리포트 | 17페이지 | 1,500원 | 등록일 2010.10.20
  • 마이크로 프로세서 응용 실험 [아날로그 전압 값을 읽어 그 값을 PC에서 확인 할 수 있게 하는 프로그램]
    ;se = i/10-(fr*10);th = i - (fr*100+se*10);TX1_Byte(fr+48);TX1_Byte('.') ... 설계과제의 목표 제한조건1.1 설계과제의 목표◆ 아날로그 전압 값을 읽어 그 값을 PC에서 확인 할 수 있게 하는 프로그램을 작성1.2 설계과제의 제한조건▷ 준비물 : 가변저항( ... 출력 기능을 수행하는데 매우 중요한 기능이며 프로그램을 수행 하면서 매우 빈번히 사용하는 기능이다.◆ AD ConverterAD Converter ( 아날로그 디지털 변환기 ) 는
    리포트 | 15페이지 | 10,000원 | 등록일 2012.04.01 | 수정일 2013.12.17
  • 기초실험4_rlc_필터회로
    차단주파수 wC 보다 큰 임의의 주파수에 대해 출력은 가해진 입력신호의 70.7 [%] 이하가 출력된다.(3) R-L 저역통과필터RL+--+ViVo[그림 3] R-L 저역통과필터[그림 ... 크기와 위상은,,R-C 고역통과필터에서 차단주파수 ωc 보다 큰 주파수를 가하면 입력신호의 크기는 적어도 70.7 [%] 인 출력전압 Vo 가 출력된다. ... 차단주파수보다 작은 주파수에서 출력입력신호의 70.7 [%] 보다 작다.(1) R-L 고역통과필터R+--+ViVo[그림 6] R-L 고역통과필터LjωLR-L 고역통과필터는 [그림
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.15
  • 캡스톤 설계 자동인식 블라인드
    key 누르면 동작if(position==4) return; // 현재 위치가 close이면 리턴position++; // 위치 1증가a=4200;moter_l();}}void cds_ad ... 이를 위하여 조도 센서를 이용 태양의 빛을 감지하여 빛의 양을 파악하였으며, 1축2방향모터를 제어하여 블라인드를 조절하였다.또한 소스를 구성해 스위치 입력을 통해 모터를 제어하여 블라인드가 ... 우선권 주장번호 1020070067814 (2007.07.06)발명자/고안자 민병승대리인 김희곤 | 박용순 | 김인한프레임;상기 프레임 하부에 승하강로프를 통해 일정간격 이격되어 배열되는
    리포트 | 29페이지 | 9,000원 | 등록일 2012.02.14
  • [알고리즘 프로젝트] 단어 유사도 검색기 최종보고서
    단어를 파편화한 후, 파변배열에 저장하는 Algorithm-> 본 팀은 C++의 String 클래스에서 멤버함수인 Substr함수의 기능에 착안하여, 단어를2개 묶음으로 파편화한 뒤 ... 이를 배열에 저장하는 함수를 C로 구현하였다. ... 그러므로 시간복잡도는 O(1) [ 단 LinearProbing 이 발생할 경우 O(1+k) , k는 collision 발생 횟수 ]하지만, 배일이 꽉 차 있고, LinearProbing이
    논문 | 20페이지 | 3,000원 | 등록일 2015.04.02 | 수정일 2015.04.09
  • ATmega128 PCB Control 설계결과보고서
    다만 입력 출력에 관한 부분은 사용자가 직접 지정을 해 주어야 한다.DDRx : x포트의 입출력을 설정한다. 1이면 출력, 0이면 입력으로 설정된다.PORTx : x포트가 출력으로 ... 이렇게 지정한 값은 배열의 형태를 띄게 된다.(5) DispSeg : main에서 작성된 프로그램을 출력해주는 함수를 포함한다. x포트의 출력은 PORTx로 설정된다.5. ... C Program Source의 블록도Init_controlmainDispSegLDelayflashmemory(1) Init_control : 출력입력 Port를 지정한다.
    리포트 | 11페이지 | 1,500원 | 등록일 2010.12.21
  • 개념을 콕콕 잡아주는 c프로그래밍 연습문제 Chapter9
    다음은 point구조체 배열을 이용해서 점의 x, y좌표를 입력받아서 입력받은 순서대로 출력하는 프로그램이다. ____에 필요한 코드를 직접 작성하시오. ... ( i = 0 ; i < 3 ; i++ )scanf("%d %d", _______①_______);printf("입력된 좌표 : \n");for( i = 0 ; i < 3 ; i++ ... 그리고 두 점의 좌표를 입력받아서 좌표가 같은지 여부를 출력하는 프로그램을 작성하시오.
    리포트 | 7페이지 | 2,000원 | 등록일 2010.06.16
  • 결과8
    :a) node I,A,B C의 전압을 측정하시오. ... 낮은 입력저항의 효과를 측정• 준비: node I에 100Hz, 0.2Vpp 신호를 인가하고, 주증폭기의 +, - 단자 사이에 R=10kΩ을 연결한 회로 8.6을 꾸미시오.• 측정 ... 블록schematic과 pin 다이어그램은 그림 8.1과 같다. 저항과 capacitor 두개의 junction diode( 1N914)를 추가로 사용한다.
    리포트 | 19페이지 | 2,000원 | 등록일 2011.12.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대