• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(960)
  • 리포트(779)
  • 자기소개서(142)
  • 시험자료(26)
  • 논문(10)
  • 방송통신대(2)
  • 이력서(1)

"PID 제어기" 검색결과 421-440 / 960건

  • 비례적분미분제어기를 이용한 폐루프 제어시스템 레포트
    [실험17]비례적분미분제어기를 갖는 폐루프제어.hwp11. 실험목적- 2차 지연요소를 제어대상으로 하고 비례적분미분제어기를 이용한 폐루프 제어의 특성을 고찰한다.2. ... 기본이론- 비례적분미분제어기를 이용한 폐루프 제어시스템은 다음과 같다.개루프 전달함수G(s)= {K _{p}} over {t _{r}} {(st _{r} +1)(st _{der} + ... 사용기기 및 재료1) 2채널 오실로스코프 2) 함수발생기3) Power supply DC ± 12V 4) 브레드 보드5) OP AMP μA7416) 저항 470Ω, 10kΩ, 100kΩ
    리포트 | 16페이지 | 2,000원 | 등록일 2015.01.06
  • 현대모비스 최종 합격 자소서
    많은 실험 끝에 찾아낸 원인은 PID 제어에 영향을 주는 요인들을 보정해 주어야 한다는 것입니다. ... 배운 내용을 바탕으로 ‘강의실 관리 시스템’ 프로그램을 만들었고 A+이라는 성적을 받을 수 있었습니다.쿼드콥터 1단계 호버링을 개발할 때 수업시간에 배운 PID 제어를 이용해서 자세제어를 ... 그리고 제어공학에서 배운 PID 이론을 실제로 쿼드콥터 개발에 사용해 볼 수 있었습니다.PLC 수업을 들을 때 C언어에 익숙해져 있어서 Sequence Control이 잘 이해가 되지
    자기소개서 | 2페이지 | 3,000원 | 등록일 2016.04.09
  • [기술세미나] 퍼지 이론_알고리즘
    제어기 PID 장점 정상상태에서의 시스템 반응이 좋다 . ... 정상상태와 오차가 클때는 Fuzzy 의 가중치를 높여서 퍼지 제어에 중점을 두고 정상상태에 들어 왔을 때는 PID 제어의 가중치를 높여서 제어하는 지능형 제어 방식이다 .실습 실습# ... 퍼지 이론의 개념 자동온도제어기를 고려해 보자 . 75 ° 를 기준온도로 설정하여 온도를 제어한다고 가정하면 온도가 기준온도보다 높으면 에어컨을 켜야 하고 기준온도보다 낮으면 꺼야
    리포트 | 50페이지 | 10,000원 | 등록일 2016.04.09
  • [기출 2001년~2017년]정보처리기사 필기 정리
    기술2가지: 페이징(Paging) 기법, 세그멘테이션(Segmentation) 기법② 세그먼테이션은 프로그램을 여러 개의 블록으로 나누어 수행한다.③ 각 세그먼트는 고유한 이름과 크기를 ... 1040101&docId=186187997&qb=U0pGIOyKpOy8gOykhOungQ==&enc=utf8§ion=kin&rank=4&search_sort=0&spq=0&pid ... 1040101&docId=186187997&qb=U0pGIOyKpOy8gOykhOungQ==&enc=utf8§ion=kin&rank=4&search_sort=0&spq=0&pid
    시험자료 | 54페이지 | 5,000원 | 등록일 2018.05.18
  • 방송통신대학교 2017 1학년 1학기 컴퓨터의 이해 과제물
    OR, NOT, XOR와 같은 논리연산을 하는 장치로, 제어장치의 지시에 따라서 연산을 수행한다. ... docId=67258019&qb=7Lu07ZOo7YSw7J2YIOq4sOyWteyepey5mA==&enc=utf8§ion=kin&rank=2&search_sort=0&spq=0&pid ... 코어와 코텍스-A53 1.6 GHz 쿼드 코어로 이루어진 CPU, 말리 T880 MP12 650 MHz 사양의 GPU가 탑재되어있다.③ 출력장치먼저 디스플레이는, 5.1인치의 크기에
    방송통신대 | 6페이지 | 3,000원 | 등록일 2018.03.22 | 수정일 2018.03.26
  • 2017 경북대학교 전기전자실험 PLC제어 A+보고서
    반복되는 ON-OFF 기능의 단순 기계분야뿐만 아니라 복잡한 산업프로세서 제어 분야에까지 다양하게 적용된다.풍력: PLC는 TCP/IP ETHERNET 총신을 이용하여 풍력 발전기 ... 프로그래밍 및 래더 다이어그램과 관련된 약점을 극복하기 위해 IEC 611131-3에 의해 도입되었다. function block 은 응용 프로그램의 다른 부분이나 다른 프로젝트에서 PID ... 여기서 홀효과는 도체나 반도체에 전류의 직각방향으로 자기장이 가해지면 전류와 자기자에 직각이 방향으로 기전력이 발생하는 효과이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2017.12.30
  • 전력거래소 전기직 합격 자기소개서
    커피머신의 내부에 PCB를 이용해서 실제 물이 나오는 양을 변경해서 압력을 줄인다던지, 혹은 PID 제어 센서를 장착하여 매시간 온도를 측정할수 있는 기능들을 넣을 수 있었습니다. ... 한국으로 돌아와 커피를 내리는 커피머신에 따라서 커피 맛이 달라지는 것을 깨닫고 커피추출기에 대해서 공부를 하기로 했습니다. ... 앞서 설명드린 호기심이 생기면 끝까지 파고드는 저의 성격 때문에, 커피머신을 제어하기 위해서는 많은 전자분야의 지식이 필요하다는 것을 느꼈고, 성균관대학교에서 실행하는 PCB 설계과정
    자기소개서 | 2페이지 | 3,000원 | 등록일 2019.07.29
  • DC모터 PID제어 Matlab
    설계하여 볼 것이다.제어기 없이 폐루프를 구성하면{G LEFT ( s RIGHT )} over {1+G LEFT ( s RIGHT )} =T` BULLET `F으로 나타낼 수 있다.G ... ylabel('Output');아래는 사용한 다양한 disturbance입력r=2*t;r=exp(-5t);r=sin(3*t);3-(1)루트로커스를 이용하여 lead and lag 제어기를 ... 이는 뉴턴법칙F=Ma로부터 유도가능하다.이번 과제에서 사용되는T _{F}는 coulomb friction 이다. coulomb friction은 속도의 크기에 의존하지 않고 속도의
    리포트 | 36페이지 | 2,000원 | 등록일 2014.07.01
  • 도립진자시스템제어 설계
    0.01:3;r=0*t;x0=[0 1 0 0];[y,T,x]=lsim(sys,r,t,x0);plot(T,x(:,2))eig(A)ans =0-17.81006.0017-5.07524) PID제어기를 ... ,T,x]=lsim(sys5,u,t,x0);plot(T,x(:,2))좋은 특성을 나타내는 pole의 위치선정에 trial and error 방법으로는 한계가 있다고 판단.결론 : PID제어기가 ... 수준 3의 방법으로 접근.2) 극점배치a) 제어기 설계- 수준2에서 썼었던 극점사용.p=[-4+j*5.3 -4-j*5.3 -50 -51];A_1=[A-B*K];sys2=ss(A_1,
    리포트 | 11페이지 | 3,000원 | 등록일 2016.04.20
  • 두산메카텍 면접자기소개 지원동기
    레이저 포인터로 차량을 검출하는 센서에 대한 이해는 지금 듣는 생산자동화과목에 많은 도움이 되었고 그당시 제어시스템의 PID 이해에도 도움을 주었습니다.7.갈등경험자동화 화장실 설계아이디어 ... 수업시간에 배운 거리센서와 스텝모터를 이용하여 손으로 직접 잡지 않고 뚜껑을 여는 시스템과 앉으면 자동으로 환풍기가 돌아가는 시스템을 고안해서 위생과 편리함을 추구하는 아이디어를 제안했습니다 ... 어둠은 핸드폰의 후레시기능의 어플을 받아 활용하였습니다.다른 과의 과제에 대한 어려움은 제어시스템에 대하 이해를 높이기위해 관련 서적을 공부하였고 교통량 측정에 관한 원리를 이해하려고
    자기소개서 | 3페이지 | 3,000원 | 등록일 2018.12.11 | 수정일 2022.12.23
  • [자동제어] 전달함수 매트랩코드,Step응답과 Impulse응답
    위 8번의 step응답을 PID 제어기를 사용하여 오버슈트 15% 이내, 5초 이내의 정정시간을 가지도록 제어기를 설계하여라. ... 자동제어 Ⅰ Matlab Report1.
    리포트 | 18페이지 | 2,000원 | 등록일 2011.11.14
  • Matlab simulink 연습
    결과치 비교 >그림과 같이 PID 제어기를 Library에서 찾아서 블록을 넣었을 때와, 직접 PID제어기를 설계하였을 경우 모두 같은 결과를 얻을 수 있음을 알 수 있다. ... 다이어그램에 각각의 내용을 넣을 수 있는 방법이 있다.(1) Controller작성 (PID 제어기와 스텝입력)컨트롤러의 PID 제어기이므로 관계식은 아래와 같이 낼 수 있다.즉 ... PID특성을 가지는 제어기를 나타내며, 각각을 시뮬링크에서 블록다이어그램으로 표현 할 수 있다. < 그림 2>에서 아래 PID 제어기를 같은 입력이지만, 다른 스코프에 출력을 물려
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.21
  • 화공생명공학과 공정제어 프로젝트 레포트
    ) Rise time: PID 와 PI 비슷제어기 성능비교 PID > PI..PAGE:355. ... : PID > PI3) Rise time: PID 와 PI 비슷제어기 성능비교 PID > PI..PAGE:375-2. ... : PID > PI3) Rise time: PID 와 PI 비슷제어기 성능비교 PID > PI..PAGE:385-3.
    리포트 | 86페이지 | 2,000원 | 등록일 2014.02.02
  • 15 현대자동차 상반기 (생산기술) (합)
    그 결과, 매틀랩으로 엔진 시스템 모델링을 할 수 있었고 공회전상태에서의 PID제어기를 설치했을 때 응답에 대한 최적제어조건을 구할 수 있었습니다. ... 한발 더 나아가 각각의 P, PI, PID제어에 따른 응답 변화를 비교 관찰하여 이론적으로 배운 내용을 시각적으로 나타냈습니다. ... ‘엔진 공회전 속도 제어’라는 주제로 프로젝트를 진행하며 전공에 대한 깊은 이해를 실천한 경험이 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2016.07.26
  • 제어공학 DC모터 PID설계
    스텝응답의 정상상태 오차 10%이하 및 정착시간 0.5초 이하, 최대초과 시간10%이하로 주어진 모든 제어목표를 달성하고있다.2.위 제어목표를 달성하도록 PID제어기를 설계하라.◎ ... 제어공학Ⅰ(기말텀프로젝트)DC Motor의 위치 제어기 설계메카트로닉스공학부1. 이 시스템에 대하여 다음 제어목표를 달성하도록 주파수 영역 제어기를 설계하라.? ... 비례미분(PD) 제어기이 시스템의 폐로전달함수는앞에서 말했듯이 정상상태 오차가 0이므로 PD제어기에 의해인 영점이 첨가되고 감쇠를 나타내는 분모 1차항의 계수가 4로부터 4+kd로
    리포트 | 8페이지 | 1,000원 | 등록일 2008.06.11
  • [아주대 기계응용실험] 예비보고서5 (BLDC Motor Control)
    : 피드백을 통해 출력에 대한 보상을 함으로서 외란이 존재해도 open-loop에 비해 우수한 성능을 가짐PID 제어제어 변수와 기준 입력 사이의 오차에 근거하여 계통의 출력이 기준 ... C(s)는 제어기의 전달함수이고, G(s)는 공정의 전달함수이다. ... 실험 목적제어기를 설계하기 위해서 Open-loop제어기법과 Closed-loop제어기법에 대해서 이해하고 원하는 속도 프로파일을 형성한 후 실험적으로 얼마나 원하는 속도를 추정할
    리포트 | 3페이지 | 2,000원 | 등록일 2016.03.01
  • 전자정보공학과, 로봇공학과 , iot전자정보, 컴퓨터공학과 수시 지원 및 합격 자소서
    프로그래밍 방법과 멀티태스킹의 활용, 라인트레이서의 제작 및 제어, PID(자동화 시스템의 반응측정 및 제어)을 배우고 코딩 로직 실습을 수행하였습니다. ... 주 활동으로는 Lego Mindstorms EV3를 활용하여 센서와 모터 제어를 통한 로봇의 하드웨어를 만드는 수업을 진행되었고, 그 뒤로는 제게 익숙하지 않았던 로봇의 제어 기법과 ... 진학하게 되어 코딩 자율동아리의(BIG SUN)활동을 더 이상 이어나가는 것이 어려웠기에 웹 사이트는 완성되지 못하였지만 이 경험을 통하여 다시금 아무리 어렵고 복잡한 일이라도 끈기
    자기소개서 | 5페이지 | 10,000원 | 등록일 2018.11.23
  • [기계공학]디씨(DC)서보 모터의 제어 실험
    만약 이상이 있다면 프로그램과 배선을 점검한다.실험(3) PID 제어를 이용한 DC 서보 모터의 속도제어 실험태코미터의 전압을 피드백하여 DC 서보 모터의 속도제어를 수행한다.① DC ... 서 론1) 실험 목적자동제어에서 다루는 제어의 기본 개념을 실험을 통해 숙지하기 위하여, 비례제어기를 이용한 DC 서보 모터의 위치 및 속도 제어시스템을 구현한다. ... 제어기를 이용한 DC 서보 모터의 속도제어 실험Reference Input : r = 1.9V102350Max1.8212892.0361332.1289061.4816670.1640000.8756670.3716800.15683
    리포트 | 10페이지 | 1,000원 | 등록일 2006.06.25
  • 현대오트론 자소서
    [끈기가 완벽함을 만든다 ]학교 졸업 작품으로 FPV 드론이란 작품을 제작했습니다. 제가 맡은 부분은 드론의 몸체설계와 제어였습니다. ... 또한 로봇의 핵심인 몸체설계를 위해서 솔리드웍스라는 설계툴을 공부하기도 했고, 제어공학의 PID를 코드상으로 구현해 보고자 밸런싱로봇, 드론 등을 제작해보기도 했습니다. ... [제어에 대한 관심]로봇을 전공하면서 제어공학,회로,전자회로, c언어 자바 등 다양한 분야의 기초이론과 임베디드 모터제어, 컴퓨터비전 등의 다양한 응용지식을 접했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2016.03.18
  • 국민대학교 자동차공학실험 2 - 역진자를 이용한 자동제어 이론 실습
    이러한 미분 제어를 이용하여 PID 제어기를 만들면 시스템의 과도응답 특성을 줄일 수 있다. ... 이건은 PID 제어기의 비례제어 값을 조정했을 때 응답특성이 강화된다는 것을 확인할 수 있다. ... 이것을 보면 PID 제어기에서 D 게인을 통해 응답 시간을 줄여주는 것을 볼 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2014.03.09
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대