• 통큰쿠폰이벤트-통합
  • 통합검색(710)
  • 리포트(652)
  • 시험자료(27)
  • 자기소개서(18)
  • 논문(5)
  • 방송통신대(5)
  • 서식(2)
  • ppt테마(1)

"multi-modul" 검색결과 421-440 / 710건

  • hybrid ARQ
    -interference, multi-path fading, jamming, channel encoding/decoding - bit error -need to powerful error ... [BER]BER for each modulation schemes.λb is SNRError Corrections. ... [BER]BER for each modulation schemes.Bit Error Rate over Rayleigh fading channels.FEC (Forward Error
    리포트 | 25페이지 | 1,500원 | 등록일 2007.11.25
  • [기타자료]신호처리-얼굴인식
    BioID 2.1네트워크 환경과 물리적인 출입 통제를 위한 제품Keyware(www.keyware.com)얼굴, 음성, 지문 등의multi-modal 생체 측정기술및 응용 기술1. ... VoiceGuardian,FaceGuardian,FingerGuardian 음성, 얼굴,지문 인식을 위한 모듈화된기반 기술visionics(www.visionics.com)아이겐페이스 ... 비를 최대화하기 위한 (Sw)-1Sb의 고유벡터를 구한다.
    리포트 | 15페이지 | 3,000원 | 등록일 2010.03.31
  • Bluetooth(블루투스)
    , u-Law PCM, CVSD) l Point to Point, Point to Multi 방식의 연결 가능 l 짧은 데이터 패킷을 사용할 뿐 아니라 유연성이 좋은 패킷을 사용하여 ... 2) Bluetooth 연혁 3) Bluetooth의 일반적 사양 4) Bluetooth의 모듈 구성 5) Bluetooth RF 모듈의 주파수 특성 6) Bluetooth Baseband ... 모듈의 H/W적 특성 7) Bluetooth Baseband 모듈의 S/W적 특성 8) 경쟁기술과의 비교 9) 휴대폰 제조업체별 Bluetooth 참여현황 10) Bluetooth
    리포트 | 26페이지 | 2,000원 | 등록일 2006.10.26
  • CISC와 RISC에대한 컴퓨터구조
    CPU는 I/O모듈과 데이터 교환한다. ... buffer register) : CPU와 I/O모듈 사이의 데이터 교환을 위해 사용된다.? ... 비록 두 번째 명제는 SMP(Symetric Multi Processing)나 MPP(Massively Parallel Processing)와 같은 非폰노이만 아키텍쳐의 출현으로 더
    리포트 | 9페이지 | 1,500원 | 등록일 2008.11.11
  • XDSL의 정의
    ADSL 외에 자동 적응형 디지털 가입자 회선인 RADSL(rate adaptive DSL), 다중속도 디지털 가입자 회선인 MDSL(multi rate DSL), 대칭형 디지털 가입자 ... 동시사용불가-4가닥의 통신선 이용으로 자원낭비4. xdsl의 기술적 사양1) CAP (Carrierless Amplitude Phase Modulation)1993년 미국의 Bell ... Atlantic에서 최초로 상용화된 방식으로서 기존의 QAM(Quadrature Amplitude Modulation) 기술을 기초로 한 방법으로 특징은 다음과 같다.(1) 단일
    리포트 | 8페이지 | 1,000원 | 등록일 2007.10.13
  • [교과교재 연구 및 분석]최근 ESL 교재 경향
    그 중 내적평가를 할 교재를 선정하기 위해 크게 4가지 교재를 비교, 평가해 보았다.최근 ESL교재들은 대부분 multi-syllabus approach를 바탕으로 하고 있었는데 의사 ... 마지막으로 Optional Writing을 통해 자신의 의견을 간략히 써볼 수 있다.■ 특징: 4과 마다 Consolidation modules 1-4가 있어서 앞에서 배운 문법, ... Longman■ Context : 12 Units① Part A Language : Module, Language Focus, Vocabulary, Speaking, Reading/
    리포트 | 2페이지 | 1,500원 | 등록일 2006.05.27
  • 건축물의 이중외피구조
    특징1~3 DSF 실례 국내 1~3 DSF 실례 국외 4~5 부록( 단열재별 열전도율)★이중외피 ★ ★ 기본 개념도 ★DFS는 기존의 Facade에 하나의 Facade를 추가한 multi-layer의 ... 조절하며, 옥상녹화로 주변의 자연조화와 실내온도 조절을 할수있음♥BIPV 실례(국외) ① ♥NTT무사시노연구개발센터 (일본) 본관에 설치된 공조실외기용 발코니의 차양루버와 일체로한 PV모듈이다 ... RC조 + SR조 외부마감- 외벽-THK.80단열공법 (드라이비트) 창호- THK18복층유리 지붕- 텍크플레이트 슬라브 태양전자판, 옥상녹화 이 건물에 태양광을 이용하여 전기를 발생케
    리포트 | 14페이지 | 1,500원 | 등록일 2009.06.03
  • 커널모드 드라이버
    (바이너리 호환은 되지 않는다.)커널 모드 드라이버도 잘 알려진 기능별로 분리되고, 모듈화 되어져 있다. ... 0x0000000e#define FILE_DEVICE_MODEM 0x0000002b#define FILE_DEVICE_MOUSE 0x0000000f#define FILE_DEVICE_MULTI_UNC_PROVIDER ... Overview of Windows ComponentsNT Component OverviewNT 기반 OS는 Kernel-mode와, User-mode에서 실행되는 몇 가지 요소(Component
    리포트 | 10페이지 | 1,500원 | 등록일 2009.06.05
  • [재료공학] LTCC-MCM에 대하여
    내에서 여러 기능을 하나의 Module로 복합화MCM (Multi-chip Module) 의 개요각기 다른 기능을 갖는 여러 개의 반도체 베어칩(bare chip)을 하나의 배선기판위에 ... 이와 같이구성되는 다중 칩 모듈(Mutichip Module, MCM)은 칩이 실장 되는 기판의 종류에 따라MCM-L(Mutichip Module on Laminated Dielectric ... ), MCM-D(Mutichip Module on DepositedDielectric), MCM-C(Mutichip Module on Ceramic)로 나눌 수 있으며 LTCC-M
    리포트 | 5페이지 | 1,000원 | 등록일 2004.10.24
  • 데이타베이스(DB)-요점정리/예시 포함
    -어떤 intelligence-검색 모듈 성능 어떻게 향상? ... Programming: JSP, ASP, PHP 등발전방향- OODBMS/ORDBMS for Multi-media data- Parallel DBMS- OLAP(On-line Analytical ... 사용자(user)질의(query)정보표현(presenation)질의 분석최적화(optimization)순서화(ordering)분류(cataloging)검색결과정보검색 모듈/Engine정보구조
    리포트 | 20페이지 | 1,500원 | 등록일 2008.01.09
  • 하이닉스 IR 자료
    Cell)과 MLC(Multi-level Cell) Chip을 모두 생산하고 있으며128~64Gb 용량의 폭 넓은 제품 군을 보유하고 있습니다. ... 개발2001㈜하이닉스반도체로 사명 변경2001현대그룹서 계열 분리 확정2004낸드플래시 개발 성공20052004년 사상 최대 연간이익 달성2006세계최초 60나노급 최고속DDR2 모듈 ... *2,8991,3212,8173,659영업이익률2%-28%6%27%순이익률-4%-70%4%27%EBITDA마진37%19%33%46%자산16,30416,57617,72215,350(현금
    리포트 | 28페이지 | 1,000원 | 등록일 2010.11.16
  • 자동창고(as/rs)
    시스템 -오버헤드 (OVER HEAD) TYPE - 필름, 원단 등의 ROLL 제품 보관자동창고 유형◆ Multi Pick System 일정구역에 제품을 적재하고 오터 픽킹(Order ... 한국타이어)자동창고 유형자동창고 유형◆ DPS 피킹 시스템 피킹할 물건을 컴퓨터와 디지털 표시기에 의해 업전표 없이 피킹을 하는 시스템단위하물 AS/RS입출고 stationS/R 기계모듈Rack ... Building 자동창고 시스템 - 기존 건축물 내에 자립하는 RACK 구조 - 주변 물류기기와 연계 확장이 용이 - 고객의 요구에 따른 특수 용도 적용 가능 - 약품, 화학제품,
    리포트 | 18페이지 | 1,500원 | 등록일 2007.05.27
  • [SDRAM]SDRAM
    Multi Bank Structure New CircuitSDRAM의 구조(1)내부에 뱅크라 불리는 복수개의 메모리 어레이 배열 구조를 가지며 마치 module에서 처럼 bank 인터리브 ... 를 통하여 고속동작 가능.Clock 상승 edge 에서 control signal들의 입력 상태를 latch 할 수 있는 Latch 회로Multi-Bank 동작을 보장하기 위한 bank ... - Normal DRAM 과 SDRAM의 차이점System clock이 직접 SDRAM에 입력되어 DATA의 입출력이 clock에 동기 되어 제어되므로 입출력 제어가 간단하고, 한번의
    리포트 | 10페이지 | 1,000원 | 등록일 2006.07.06
  • J2EE 성능개선
    CPU의 병목으로 인해 시스템 처리속도가 느려지는 현상 - CPU를 많이 사용하는 모듈을 찾아내는 것이 관건이다. ... 나타난다.개요Thread Dump 유형별 분석방법 CPU 과점유 Thread 검출방법Ⅱ Analysis in WAS UserAPThread DumpWAS는 기본적으로 JVM상에서 작동하며 JVM은 Multi ... ------- ---------- ANALYST 3000 MANAGER 2758.33333 PRESIDENT 5000SQL alter session set sql_trac같은 원리로
    리포트 | 47페이지 | 4,000원 | 등록일 2009.03.17
  • Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    ----------------------------------------------------------*/module addsubtractor1 (A, B, Clock, Reset ... Areg신호, Z신호를 입력으로 하고 wire G를 출력으로 하는 mux선언부분*/defparam multiplexer.k =n;/*k비트로 선언되어있던(혹은 다른 사람이 설계했던) multi-plexer를 ... In order to obtain reusability and to make maximum use of predefined modules, it is often necessary to
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • 구조역학 프로그램 종류들
    ONE-STOP 계산기존의 SAPIN(그래픽 전처리), 계산, SAPLOT(그래픽 후처리) 3단계과정으로 분리되어져 있던 모듈이 하나의 화면에서 통합처리 됩니다. ... ON-LINE HELP 기능문자뿐아니라, 화상에 의해 SAP2000의 기능을 기술했습니다. ... 다중화면 (MULTI VIEW WINDOWS)다중화면처리를 통해 3차원 형상과 XY, YZ, ZX 3가지 2차원 단면도에 대해서 한눈에볼 수 있으므로, 형상 모델링 및 결과 분석이
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.20
  • 전방위 평가의 장점과 단점 및 또한 전방위 평가를 실시하는데 유의점/전략적 성과관리의 특징
    feedback, Multi-source assessment, Multi-perspective ratings, Full-circle feedback, group performance ... 또한 전방위 평가를 실시하는데 유의점을 생각나는 대로 기술하시오.다면평가는 일반적으로 상·하급자 및 동료 등 다수가 평가자로 참여하여 평가하는 것으로 360도 평가, Multi-rater ... 인적자원관리 모듈 4Report과목명인적자원관리학과경영학과리포트 주제전방위 평가 (또는 다면평가, 360도 평가)가 실제 조직에서 유행처럼 번지고 있습니다.
    리포트 | 7페이지 | 1,000원 | 등록일 2008.02.27
  • 물리전송회선의 종류
    Fiber/Coax)케이블 모뎀과 관련된 표준 MCNS(Multi- media Cable Network System)의 DOCSIS(Data Over Cable Service Interface ... ACCESS TECHNOLOGY- * -아날로그 부호를 디지털 부호(1과 0)화하는 작업이 필요하다 - PCM (pulse code modulation ; 펄스 부호 변조) 기술을 ... - * -* PCM (pulse code modulation) ; 펄스 부호 변조 PCM은 아날로그 데이터를 일정간격으로 샘플링하여 펄스진폭변조 신호를 얻은 다음, 이를 다시 양자화를
    리포트 | 64페이지 | 1,500원 | 등록일 2007.11.06
  • [컴퓨터]운영체제 요점 정리
    디스패쳐(Dispatcher)- CPU 스케줄러 기능에 포함된 또 다른 구성요소- CPU 스케줄러에 의해 선택된 프로세스에 CPU가 제어권을 가지도록 해 주는 모듈.? ... 분산시스템(Distribued System)- 이완결합(Loosely Coupled System)으로 병렬시스템과 마찬가지로 Multi Processor System- 병렬시스템과는 ... Device)를 공유한다.- Multi processor system으로 성능 강화(Increased throughput)했으며 여러 주변기기를 공유하므로 단일시스템에 비해 경비를
    리포트 | 22페이지 | 2,000원 | 등록일 2008.01.09
  • 위성 오디오 방송 시스템 구조 및 국내외 현황 분석
    난시청 등의 문제를 극복할수 있는 방안이 대두되어 현재 디지털 위성 라디오 네트웤 시스템이 개발중에 있다 이를 토대로 완벽한 DAB 기술이 구현될수 있는 토대를 근거를 마련 다음은 Multi-carrier ... Modulation 의 구조 및 DAM 네트웤, Time diversity, Space and time diversity등에 대한 다이어그램을 보여준다Digital System DH ... SG6 Bbs.774-2 BO.789-2 권고안으로 1400Mhz-2700Mhz내의 hyrid satellite-terrestrial audio broadcasting 으로 이동차량
    리포트 | 33페이지 | 2,500원 | 등록일 2009.01.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대