• 통큰쿠폰이벤트-통합
  • 통합검색(1,545)
  • 리포트(1,478)
  • 시험자료(44)
  • 방송통신대(9)
  • 자기소개서(6)
  • 논문(4)
  • 서식(2)
  • ppt테마(2)

"TD50" 검색결과 441-460 / 1,545건

  • 조현병 케이스, schizophrenia case
    대상자 정보대상자 정보성별/나이M/50세의학적 진단(DSM-Ⅴ)미분화 조현병주호소(Chief complain)망상, 사회적 위축주문제(Chief problem)/주증상(symptom ... 아침, 취침 전/1T운동실조, 정신착란, 헛소리Magnesium hydroxide 500mg위장관계 약물위산과다PO/아침, 취침 전/1T설사, 고마그네슘혈증Trazodone HCl 50mg정신신경용제우울증PO ... (TD로 인해 보행이 어려운 점이 자신의 약점이라고 말하며 자신이 걷기 힘들 때 마다 생각함)2. 대상자에게 전환요법인 TV를 보거나 운동을 하도록 교육했다.
    리포트 | 11페이지 | 2,000원 | 등록일 2018.11.15 | 수정일 2018.11.26
  • replacement, Appendectomy worksheet
    Daypost op)-CJ 하트만액 500ml 1BAGx1 IVF/Day-프랙타주 2.2ml 1A IM-세트라졸 주 1g IV-중외생리식염주사액 20ml 1A IVPRN)-트리돌주 50mg ... of water→SD(수술장에서 병실로 올라온 후 6시간 동안 머리 들지 말고 ABR, 이후 활동 및 sips of water부터 점진적인 식이 진행)-V/S check q8hr-TD-BR-PRN ... of water→SD(수술장에서 병실로 올라온 후 6시간 동안 머리 들지 말고 ABR, 이후 활동 및 sips of water부터 점진적인 식이 진행)-V/S check q8hr-TD-BR-PRN
    리포트 | 2페이지 | 1,000원 | 등록일 2019.09.12
  • (청소년복지론 공통) 아동·청소년복지 실천현장 인터넷 방문보고서 작성
    시설의 세부적인 현황으로 50명의 인원이 수용 가능한 강의실1에는 한 개의 화이트보드와 교육용 TV한대 , 17개의 책상과 50개 의자와 함께 음향장치한대를 보유하고 있다. ... 자원 등)동대문청소년수련관 청소년미디어 아카데미의 시설보유현황으로는 인적자원과 물적 장비로 나눌 수 있다.첫째, 인적자원에는 운영 조직을 위한 팀장(총괄, 교육팀(전문가, PD, TD ... )운영팀(인터넷방송, 업무지원)으로하고, 방송/미디어와 관련된 전문지도자(PD, TD, 카메라, 작가, 성우, 기자등)를 초빙하여 주제별로 교육을 진행하고 있다.둘째, 물적 장비 현황으로
    방송통신대 | 9페이지 | 5,000원 | 등록일 2019.03.21
  • RC회로의 시뮬레이션
    , fall time 50us로 주어 10kHz 주파수의 삼각파를 나타내었다. ... V1은 VPULSE로 한다.(1) 결과 사진(2) 결과 분석VPULSE란 구형파를 만들기 위한 전압소스로 V1, V2, TD, TR, TF, PW, PER를 설정해줄 수 있다. ... 또한 PER은 주기를 뜻하고, TD는 Time Delay을 말한다. Time Delay는 stmulation이 시작된 시간부터 첫 번째 전압값이 나타나기까지의 시간에 해당한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.07.19
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    이후 입력 A, B를 std_logic_vector (3 downto 0)로 4비트 입력을 가지도록 하였으며 기능선택 비트 S를 std_logic_vector (1 downto 0) ... 또한 전체적인 과정에서 직접 s 마다 임의의 값을 할당하였고 S에는 50ns 마다 “00”부터 “11”까지의 값을 순차적으로 할당하였다. 개체 전체의 딜레이는 고려하지 않는다. ... Wave Form 결과시간(ns)S[1:0]A[3:0]연산B[3:0]Y[4:0]0~50000011 (3)A+B0101 (5)01000 (8)50~100010011 (3)A-B0101
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 캡스톤디자인 SiC 상세설계보고서
    왼쪽 그래프의 경우 Vg=35V의 스트레스를 50ks동안 가해 문턱전압의 변화를 보았습니다. ... (on)#tf,td(off)ON/OFF 시간td(on)=5.2ns#tr=7.6ns#td(off)=10.8ns#tr=9.9nsQ _{g} `,Q _{gs`} `,`Q _{gd}ON 시 ... _{iss`,} `C _{oss} `,`C _{rss}각 단자 간 용량스위칭 속도에 영향을 미침C _{iss} =259pF#C _{oss} =23pF#C _{rss} =3pFtr,td
    리포트 | 22페이지 | 10,000원 | 등록일 2019.06.24
  • 해지스 마케팅
    이에 따라 라코스테는 올해 들어 주요 백화점에서 전년 대비 40~60%대의 폭발적인 성장세를 보였고 헤지스도 20~50%대의 높은 매출 증가율을 기록했다.즉, T/D시장은 아직 성장하고 ... 최초 런칭부터 2005년 F/W 여성복 런칭까지 헤지스를 TD 캐주얼 시장에서 상위 점유율을 가능하게 한 유일한 제품이다. ... 헤지스의 제품군은 ‘모던 트래디셔널 캐주얼(Modern Traditional Casual, TD Casual)'로 베이직 트래디셔널, 스포티굿바이 폴’ 광고 캠페인 등 톡톡 튀면서도
    리포트 | 15페이지 | 5,500원 | 등록일 2019.03.19
  • 분석화학실험 A+ 보고서 5. Determination of sodium carbonate and sodium hydrogen carbonate in a sample (Determination of alkalinity)
    = 0.009 Mmean (±std)std =sqrt {{(0.013-0.011) ^{2} `+`(0.009`-`0.011) ^{2}} over {2-1}}= 0.003M’ CO₃² ... ApparatusVolumetric flask(50 mL), funnel, beaker(100 mL), pipette(10 mL, 5 mL), Filler, Burette(25 mL ... mL (0.1 M × 35 mL × 1 L/1000 mL = 0.0035 mol ,0.0035 mol × 5 mL/50mL = 0.00035 mol,0.0927 M × 10 mL
    리포트 | 7페이지 | 2,000원 | 등록일 2017.07.10
  • 성인간호학 실습2 STEMI ST분절이 상승한 심근경색 case study입니다 A+받은 자료입니다
    방지하도록 하는 데에 초점- 스텐트를 삽입한 경우에는 스텐트에 혈전이 생겨서 혈관이 다시 막히는 상황을 예방하는 것도 중요한 약물 치료 목적 중의 하나(스텐트 혈전증의 치사율이 50% ... 진행돼야 좋은 효과를 볼 수 있으며, 시술하더라도 시간이 늦을수록 불리하다. 1시간씩 늦을 때마다 사망률이 0.5~1.0% 가량 높아지며 증상 발현 후 1시간 이내에 시술하면 사망률을 50% ... /RTd (-/-) bowel sound : normalCVA Td : (-/-)both leg edema : (-)- 9/1에 실시한 혈액 Lab결과 심근경색시 증가하는 수치들의
    리포트 | 29페이지 | 3,000원 | 등록일 2018.01.14
  • 아동간호학 협진사례보고서
    abscess 소견으로 귀과적 진료 및 manage 위해 의뢰하였다.입원 동기 : 내원 2주 전부터 38.3℃의 fever가 지속되었으며 Lt neck swelling (3*5cm, sw/Td ... WBC와 Platelet는 증가된 양상이 나타났다.CBC검사명정상범위결과5/5WBC4~10×10^3/㎕18.39▲RBC3.8~5.4×10^6/㎕3.87HGB12~16g/dL10.50 ... ~7%0.70BASO0~1.5%1.50CRP0.5-1.0㎎/㎗10.25▲협진의뢰일자2018.05.05협진의뢰과OL(이비인후과)AnswerI&D 시행5월 5일 Retropharyngeal
    리포트 | 2페이지 | 3,000원 | 등록일 2019.01.31
  • 꼴레골절케이스
    병원 진료 원하여 퇴원REVIEW Colles fracture정의 원위 노뼈관절 골절은 팔 골절 가운데 가장 흔히 발생 대부분 넘어지면서 손목을 뒤로 젖힌 상태로 손을 짚어서 생김 50 ... wrist pain 상기 74 세 여환 , 내원 20 분전 언덕 내려가던 중 미끄러지면서 , 양손과 엉덩이 수상하여 응급실내원 우측손목의 displacement, swelling, td ... PATIENT INFORMATIONPATIENT INFORMATION 1) Rt.hand Open wound (-) external wound (-) displacement(+) Td
    리포트 | 40페이지 | 2,000원 | 등록일 2018.01.26
  • 환기-관류 불균형과 관련된 가스교환장애 간호과정
    간호사정객관적자료· V/S : 110/50-85-20-34.4 (2017-11-06 10:21) ← O2 적용중· Sat : 83% (2017-11-06 10:21) ← O2 적용중 ... 98.0107.0▲120.5▲126.7▲101.6▲92.478.5▼HCO3-act(mmol/L)22-2613.1▼12.9▼12.1▼15.4▼20.7▼20.1▼23.225.8HCO3-std
    리포트 | 2페이지 | 1,000원 | 등록일 2019.04.30 | 수정일 2019.05.02
  • SK Telecom의 중국진출실패
    보급 불균형 심화 ( 1 선 , 2,3 선 도시 ) China Market 연 20% 의 이동통신 사업 성장률 중국정부의 광범위한 시장개입 ( 개방노선 ) Company 국내점유율 50% ... 류 ] 韓流 디지털 컨텐츠의 실패4 중국 , 규제로 인한 실패 [ 규 제 ] 規制 BASIC PENALTY : 이동통신합자 회사 지분율 49% 제한 / 부가서비스 사업시 지분율 50% ... , CDMA2000, WCDMA 로 분류 SKT 는 TD-CDMA 방식에 있어 중국 정부와 MOU 체결 향후 중국 정부가 직접 통신사업 운영할 MNO( 이동통신망 사업자 ) 가능성
    리포트 | 34페이지 | 2,500원 | 등록일 2018.08.09
  • 일반화학실험1 질량과 부피 및 밀도
    실험A.1)pipette과 pipette filler 사용법을 익힌다.2)증류수로 pipette을 깨끗이 헹군다.3)50ml Beaker 1개의 질량을 저울로 측정한다.4)pipette ... 질량과 부피를 측정하면 쉽게 구할 수 있다.밀도(d)= {질량(M)} over {부피(V)}6.시약 및 기구Chemical balance, pipette(10mL), Beaker(50mL ... TC는 To Contain의 약자로서‘담긴 양을 측정하는 기구’의 의미이며 눈금 실린더, 부피 플라스크 등에 표시되어있다.TD는 To Deliver의 약자로서‘옮기는 양을 측정하는
    리포트 | 6페이지 | 2,500원 | 등록일 2018.12.29 | 수정일 2020.09.29
  • C++ 텀프로젝트 과제(사원 관리 프로그램)
    Contact.cpp#include "Owner.h"#include #include "Contact.h"using namespace std;Contact::Contact(){ContactInfo ... void Contact::printContact(int index){char name[20];char phonenumber[13];char email[30];char address[50 ... Contact::printContact(char *inName){char name[20];char phonenumber[13];char email[30];char address[50
    리포트 | 39페이지 | 3,000원 | 등록일 2018.07.17
  • < 지구과학 > 태풍에 관해서
    ~ 중 등급에 대해서는 모두 TS 등급으로 취급하고 있으며,초속 33m/s ~ 42m/s 까지는 카테고리 1등급으로,초속 43m/s ~ 49m/s 까지는 카테고리 2등급으로,초속 50m ... KMA )태풍에는 강한 정도에 따라 강도가 나뉜다.우리나라 기상청 기준으로는 초속 17m/s ( 34KT ) ~ 24m/s ( 49KT ) 까지는 강도가 “약”,초속 25m/s ( 50KT ... 71m/s 이상은 카테고리 5등급으로 나뉘고 있으며, 카테고리 4등급 부터는 슈퍼태풍으로 분류한다.그리고, 위의 세 기관 모두 강도가 “약” 등급 아래는 열대요란 > 열대저압부 ( TD
    리포트 | 7페이지 | 1,000원 | 등록일 2019.01.03 | 수정일 2019.02.20
  • 고관절 해부, 구조, 검사, 신체진찰
    femoral epiphysis laterally off femoral head Most prevalent ages 9-15, esp overweight Bilateral up to 50% ... eminence loose bodies, labral tears, osteochondritis dessicans(less common) S/Sx localized pain and Td ... Cause: trauma, prolonged sitting, overuse; anomalies in 15-20% S/Sx: dull buttock pain +/- rad to leg Td
    리포트 | 95페이지 | 4,000원 | 등록일 2018.10.30
  • 분석화학실험 A+ 보고서 9. Preparaton of sodium thiosulfate standard solution: Analysis of commercial hypochlorite by iodometric titration
    )std= sqrt {{sum _{} ^{} (측정값-평균값) ^{2}} over {N-1}} (N=실험횟수)std= sqrt {{(0.105-0.106) ^{2} `+`(0.107 ... )std= sqrt {{sum _{} ^{} (측정값-평균값) ^{2}} over {N-1}} (N=실험횟수)std= sqrt {{(0.0128-0.0131) ^{2} `+`(0.0135 ... {3} BULLET 5H _{2} O가 필요하다.③Na _{2} S _{2} O _{3} BUL62`g/0.0268`g,`KI``0.3071`g/0.3157`g`,`증류수``12.50
    리포트 | 7페이지 | 2,000원 | 등록일 2017.07.10
  • 성인간호학 응급실케이스, 골반염, PID, 염증과 관련된 급성통증 간호중재 (A+)
    TRIDOL INJ. 50mg : 1A / IV / 1회- 진통제 투여 후 약물의 효과를 관찰하였다.TRIDOL INJ.50mg트라마돌염산염 50mg/mL1A - 1회1일해열, 진통 ... /rtd +/+/+ , rt. flank pain/td/rtd +/+/+Radiation) -Nature) 쑤시는 듯Duration) continuousAss. sx) a/n/v/d ... pain으로 아산병원 응급실에 내원 후 시행한CT에서 PID c paralytic ileus 소견으로 본원 응급실로 전원.병력수집-신체사정Pain location) rlq pain/td
    리포트 | 10페이지 | 3,000원 | 등록일 2019.03.08
  • 성인간호학 실습 환자 현황 보고서 (5명이상, 입원동기 및 증상, 검사결과, 대상자상태, 간호처치 등)
    대상자 상태객관적 자료- melena (+)- Vomiting (+)- LLQ Td/RT (+/-)- 9/8-9/9 : NPO 유지하면서 대변 안봄- 9/11 : hematochezia ... A2대상자 상태객관적자료- BP 130/70 T: 36.5 P: 72 R:20- pupil isocoria 3+/3+- 소화불량 (+)- dizziness (+)- epigastric Td ... , 만성 간염, 간경변 )- Ursa 200mg (만성 간질환의 간기능 개선 )- Cefotaxime Inj. 2g ( 감염증 및 감염 예방)- Levomels Injection 50mg
    리포트 | 5페이지 | 1,000원 | 등록일 2018.10.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대