• 통큰쿠폰이벤트-통합
  • 통합검색(2,232)
  • 리포트(2,082)
  • 자기소개서(130)
  • 시험자료(7)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계실험" 검색결과 461-480 / 2,232건

  • 건국대학교 전기전자기초실험1 13주차 예비보고서 A+
    사전 조사 항목 참고 자료디지털논리회로, 생능출판, 김종현, Chapter7. 순차회로의 분석과 설계, 363p~369p건국대학교 전력전자연구실PAGE \* MERGEFORMAT2 ... 모의실험(1) 모의실험 1 – NOR 게이트를 이용한 SR 래치 모의실험LTspice를 이용하여 다음의 회로도를 구성하시오.NOR 게이트는 OR 게이트와 NOT 게이트로 구성하시오.NOT ... 전기전자기초실험1 예비보고서 – 13주차학과 : 2 학번 : 이름 : 21. 사전 조사 항목(1) 래치 회로에 대해 조사하시오.
    리포트 | 7페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 다이오드 특성 (직병렬 회로) 결과레포트
    다이오드 회로를 배우고 설계하고 구성하기 전에 다이오드의 정류작용, 문턱전압 등 이론적으로 알고 있던 특성들을 직접 측정해보며 이론값과 비교하여 오차를 확인 할 수 있었다.실험 2 ... =0.3V 로 측정되었다.이론값=0.7V =0.3V측정값=0.65V =0.3V로 측정되었다.이론값=0.7V측정값=0.65V실험 2-3 (양논리 AND 게이트)측정결과는 진리표와동일했다다이오드 ... 실험 제목: 다이오드 특성 / 직렬 및 병렬 다이오드 구조요약문이번 실험은 다이오드 중 si다이오드를 이용하여 다이오드의 특성을 알아보는 것과 직렬 및 병렬의 다이오드 회로를 구성하여
    리포트 | 6페이지 | 2,000원 | 등록일 2022.05.01
  • [A+]중앙대 아날로그및디지털회로설계실습 과제 전압제어 발진기 (7주차)
    아날로그 및 디지털 회로설계실습7주차 전압 제어 발진기 과제1.영상 속 실험 결과에 따르면 Vc = 0.5V~2V인 구간에서는 주파수의 크기가 선형적인 특성을 가지고 증가하는데 그 ... 전기적 자극(Trigger)에 의해 하나의 안정상태에서 또 다른 안정상태로 변하는 회로이다. 2안정회로라고도 하며 기억, 계수 등 논리조작을 하는 기본회로로도 사용된다.쌍안정회로 중 ... 영역에서는 BJT 소자가 빠르게 동작하지 않기 때문에 주파수의 크기가 비선형적인 특성을 가지기 때문이다.2.쌍안정회로는 전기적으로 서로 다른 두 개의 안정상태만을 가질 수 있는 회로이다
    리포트 | 1페이지 | 1,000원 | 등록일 2021.10.09
  • 홍익대 디지털논리실험설계 9주차 예비보고서 A+
    디지털 논리실험설계 9주차 예비보고서1. ... MR’는 D Flip-flop의 clear과 연결되어, 회로를 reset 시킨다. 즉, 강제로 0을 출력하게 한다. ... D Flip-flop으로 이루어져있는 회로이므로 PRE’(강제 Set. Q가 1을 출력하도록 함)와 CLR’(강제 Reset.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 디지털 시스템 설계 및 실습 패리티검사기 설계 verilog
    1. 실습목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. 수신측에서는 송신 측에서 전송한 데이터에 대해 데이터에 포함된 ‘1’의 개수를 카운트하여 오류가 발생했는지 판단한다. 이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 ..
    리포트 | 3페이지 | 1,500원 | 등록일 2020.11.02
  • 충북대학교 전자공학부 기초회로실험 인코더와 디코더 회로 예비보고서
    실험목적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 사용방법을 익힌다.◆ 이론-encoder ... )- 디코더 (Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다. ... 의 2선-4선 decoder 회로와 같이 2진수 입력 BA의 4가지 조합의 부호들을 받아 서로 유일하게 구분되는 4개의 출력으로 바꿔주는 회로를 말한다. 회로의 인에이블
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 서강대학교 21년도 디지털논리회로실험 5주차 결과레포트 (A+자료) - Half-Adder, Full-Adder, 2's complement
    2.1 비교회로 (Comparators)두 이진수의 비교를 수행하고, 같은지 다른지 혹은 어떤 수가 더 크거나 작은지를 판단하는 것이 comparator(혹은 magnitude comparator ... 이전 4bit의 비교결과가 다음 bit에도 전달되어서 비교를 하는 것이다. 74x85의 경우 회로 연결을 위한 신호들 사이의 관계는AGTBOUT = (A>B) + (A=B)*AGTBINAEQBOUT
    리포트 | 29페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 2주차 보고서 (A+자료) - Logic Gates, FPGA
    디지털논리회로실험 2주차 실험 보고서목적- TTL logic gates의 동작 방법을 익히고, Logic level과 noise margins, fanout에 대해 이해한다.- Gates를 ... TTL 논리회로에서는 입력과 출력 전류, 전압에 대한 기준이 있다. ... 실험결과의 step10에서 설명했다시피, 출력 단자끼리 연결을 해버리면 과전류가 흐를 수 있기 때문이다.실험에서 사용된 74LS00과 74LS03은 논리 gate와 핀 번호 등이 일치하지만
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 7장 순차논리회로 설계 및 구현(1) 예비
    디지털공학실험 ? 7장, 순차논리회로 설계 및 구현(1) 예비보고서1. 목적가. 4상태를 가진 상태도를 회로로 구현하고 동작을 확인한다.나. ... 이론에 나와 있는 순차회로 설계를 참고하여 [실험 가]에 제시된 그림 7-9의 상태도로부터 회로설계하라.1. ... 이 장의 실험 목적에 대해서 스스로 생각해 보고 기술하라.☞ 상태도를 이용해 상태표를 만들 수 있고, 논리식을 유도한 다음 회로설계한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.06
  • 디지털 논리회로 실험 1주차 기본 논리 게이트 예비보고서
    디지털 논리회로 설계실험예비보고서주제 : 기본 논리 게이트 (AND, OR, NOT Gate)소속: 공과대학 전자전기공학부수업: 수2,3 XXX 교수님 XXX 조교님제출 일자: ... 실험 이론논리회로란 전기적 신호인 1과 0(high와 low)를 가지고 논리연산(AND, OR, NOT 등)을 수행하는 회로를 말한다. ... 이번 실험에서는 AND, OR, NOT 등 기본 논리게이트의 동작 특성 및 응용에 대해 알아볼 것이다.(1) AND 게이트기본 논리 회로 중의 하나로서, AND 게이트는 다수의 신호를
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.19 | 수정일 2020.09.24
  • 광주과학기술원(GIST) 지스트 인공지능대학원 자기소개서 연구계획서
    , 나노소자공학, 컴퓨터비전, 디지털제어, 전력전자시스템해석, 제어네트워크, 로봇공학, 기초회로, 전자회로, 전자회로실험, 반도체공학, 회로이론1, 전자재료, 집적회로, 배전자동화설계 ... 위한 궤도 기반 설명 방법 연구, 감독되지 않은 신경 양자화를 위한 분리된 표현 학습 연구, 신경망을 이용한 얼굴 궤적 추적 및 예측에 관한 연구 등을 하고 싶습니다.저는 또한 논리적 ... 제가 OO학기 동안 수강하였던 전공과목을 적자면 유연전자소재공학, 메모리반도체설계, RF시스템공학, 고체전자물리, 심화융합캡스톤디자인, 고급디스플레이공학, 전자에너지변환공학, 의용전자공학
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.06.30
  • 기초전자회로실험_vending machine
    결론 및 토의“실험 시에 발생한 오류들과 각각의 해결 방법을 기술 논리 회로 설계에서 오류를줄이기 위한 방법 제시”: 우선 회로를 연결하기 전에 전류가 정상적으로 흐르는지 확인한다. ... 회로설계할 때 개별 입력과, 핀번호는 첫번째 사진을 참고하면 된다.-전체 회로에 대한 실험 결과I. ... 서론-K-map을 이용한 논리 최적화에 대한 설명: K-map(카노프 맵)을 이용하는 방식은 체계적인 2 단 논리함수 최적화 방법 중 입력 변수의 개가 4 개 이하일 때 매우 효율적인
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 half, full, 4-bit adder
    실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(4-bit Adder)]2. ... 관련 이론-half adder이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력에 따라 출력한다. and, or, not의 세 가지 종류의 논리회로만으로 구성할 수 있다. ... 실험 목적- 1-bit Full Adder 와 Half Adder 의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.- 4-bit Adder를
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • 논리회로실험 비교기와 MUX, ALU 결과보고서
    논리회로설계 실험 결과보고서 #5실험 5. 비교기와 MUX, ALU1. ... 실험 결과- 실험 1. 4가지 기능을 가진 ALU를 Function과 procedure를 사용하여 설계하시오.1) 논리기호와 진리표ALU의 논리기호ALU의 진리표S1S0논리식사용00Y ... 하지만 이번 실험은 본래 간단한 설계이었지만 함수와 프로시저를 익히기 위해 사용함으로써 기존의 코드보다 더 복잡한 설계가 되었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 이수페타시스 (기술분야) 자기소개서
    또한, 실험 설계와 실행 능력, 데이터 분석과 해석 능력도 갖추고 있습니다. ... 저는 이수페타시스와 같은 회로 제조 분야에 지원하려고 합니다.화학공학 전공에서는 다양한 실험 및 연구 경험을 쌓을 수 있었고, 이를 통해 문제 해결 능력과 실험 기술을 향상시켰습니다 ... #논리적사고 #문제해결능력 #열정 #창의성논리적이고 체계적인 사고와 문제 해결 능력을 바탕으로 현실적인 문제를 해결하는 것을 중요하게 생각합니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.04.01
  • 서울대학교 일반대학원 전기정보공학부 연구계획서
    , 논리회로설계, 전자기학1,2, 통신공학, 데이터통신, 데이터베이스, 리눅스시스템보안, 디지털신호처리 등의 수업을 이수했습니다. ... 학부, 대학원 이수 전공 과목 중 관심과목저는 OO대학교 전기전자공학부에 재학을 할 때 직류회로이론, 공학수학1,2, 공학문서작성, 기초회로실험, 교류회로이론, 양자전자공학기초, 물리전자공학 ... 위상 지연 오차 및 영향 분석 연구, 희소 텐서 가속기 설계의 초기 단계 탐색을 위한 모델링 및 라이브러리 지원 연구 등을 하고 싶습니다.저는 또한 TRUST: 신뢰할 수 없는 코드로부터
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.08
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    디지털논리회로실험결과 보고서[5주차]실험 5. Arithmetic comparator, Adder and ALU1. ... 실험 결과 및 예상 결과와의 비교 분석1) 3-bit arithmetic comparator 설계두 3비트 2진수 A, B의 크기를 비교하는 회로를 [그림 1]과 같이 설계하였다. ... VHDL로 설계실험 에서 사용할 수 있는 TTL 소자의 개수 및 종류와 fan-in, fan-out을 고려하여 처음 설계회로를 변 형할 필요가 없으므로 매우 편리하다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 디지털 논리회로 실험 5주차 Adder 예비보고서
    디지털 논리회로 설계실험예비보고서주제 : Adder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... )을 가진 논리회로 이다. ... NOT의 복합연산으로 표현할 수 있는데, 그 중 하나가S ~=~ bar {bar{(A+B)} + A cdot B}``이다.(2)반가산기반가산기는 2개의 입력과 2개의 출력을 가진 논리회로
    리포트 | 9페이지 | 1,500원 | 등록일 2021.04.22
  • 전자전기컴퓨터설계실험2(전전설2) (3) Logic Design using Verilog HDL
    실험 목적본 실험에서는 Verilog HDL의 사용법을 익히고 이를 사용하여 디지털 논리회로설계하는 여러 가지 방법을 다룬다. ... VerilogIEEE 1364로 표준화된 Verilog(베릴로그)는 전자회로와 시스템에 사용되는 하드웨어 기술 언어로, 회로 설계, 검증, 구현 등 여러 용도로 사용할 수 있다.C언어와 ... (행위수준 모델링(조합논리회로), 2-to-1 MUX)[사진 5] 베릴로그 HDL 모델링의 예시(행위수준 모델링(순차회로), D 플립플롭)테스트 벤치 묘듈은 HDL 모델을 시뮬레이션하기
    리포트 | 84페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 6주차-실험17 예비 - 쉬프트 레지스터
    2015년도 제2학기기초회로실험Ⅱ기초회로실험실험17. ... 설계하라. ... 또한 데이터 비트의 자리이동을 통해 산술 및 논리연산을 수행할 수 있도록 합니다.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대