• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,288)
  • 리포트(2,105)
  • 시험자료(102)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 461-480 / 2,288건

  • 항공정비사 면장 실기 구술형 평가항목 질문정리
    - 3회6) 랜딩기어 작동유 보급시기 - 3회7) 브레이크 형식(싱글, 멀티, 세그먼트로터 식 등등)설명 - 3회8) 브레이크 점검방법(마모점검, 검사) - 4회9) 퓨즈플러그의 역할과 ... 종류 및 각 역할 - 3회7. ... - 3회6) 디토네이션/조기점화/후화/역화 설명 - 4회7) 오일 보급 시 주의사항과 그 시기 및 이유는? - 2회8) 매니폴드란?
    시험자료 | 15페이지 | 1,500원 | 등록일 2021.11.25
  • 외식마케팅관리론 요약본
    familiarization) 여행을 계획자와 여행 중개인(agent)에게 제공함.친숙화 여행은 intermediary 소비자들을 사전에 체험하게 함으로써 상품의 무형성을 감소시킴.(7) ... 타겟팅) 어떻게 할 것 인지를 결정 (차별화 & 포지셔닝)ㄴ 세분화 과정: 전체 시장 식별 → caller segments로 나눔 → 가장 유망한 segment 선택ㄴ 가장 유망한 세그먼트에게
    시험자료 | 26페이지 | 2,500원 | 등록일 2021.11.06
  • Display 및 chatterless switch 회로 납땜 제작
    Display 및 chatterless switch 회로 납땜 제작실험결과시험 6에서 만들었던 7-세그먼트의 회로를 bread board가 아닌 납땜 판에다가 구성해보는 실험토의고등학교
    리포트 | 3페이지 | 1,000원 | 등록일 2019.06.25
  • 새롭게 바뀐 정보처리기사 필기 전 범위 요약집입니다. (필기 합격하였습니다.)
    : 전송계층->세션계층->표현계층->응용계층#PDU (Protocol Data Unit)동일 계층 간 교환되는 정보의 단위- 세션/표현/응용 계층 : 메시지- 전송 계층 : 세그먼트 ... 발생하거나 반복적으로 발생하는 작업을 지원하는 도구. ex) Spring Batch, Quartz#TCP프로토콜 ; Transmission Control Protocol- OSI 7 ... #OSI (Open System Interconnection) 참조 모델ISO 에서 제안한 통신 규약- 하위계층(1~3) : 물리계층->데이터링크계층->네트워크계층- 상위계층(4~7)
    시험자료 | 38페이지 | 4,000원 | 등록일 2020.12.29 | 수정일 2021.02.24
  • 정처기 실기 약술형 대비
    (AH (인증헤더) : 무결성과 인증성 보장, ESP (암호화) : 기밀성 보장 , IKE : 보안 관련 설정 생성, udp 500번 포트 사용)- SSL/TLS : 4, 7계층 사이에서 ... 인덱스 생성, 제거]CREATE INDEX 인덱스명 ON 테이블명(속성명);DROP INDEX 인덱스명 ON 테이블명;[ 계층별 전송 단위 ]5계층 이후 : 데이터4계층 전송 : 세그먼트
    시험자료 | 24페이지 | 1,500원 | 등록일 2021.06.07
  • 컴퓨터네트워크 기말 족보
    MIME의 사용 예이다**라. base64방식의 통신프로토콜 이용한다7. DNS의 설명과 가장 관계가 없는 것은(07’, 14‘, 18‘)가. 도메인명 계층과 ? ... (타이밍)(2) TCP 세그먼트 헤드는 20~60bytes의 길이로 첫 16bits는 sourceport주소, 다음의 16bits는 destination port주소로 구성된다.
    시험자료 | 4페이지 | 2,000원 | 등록일 2021.06.05
  • 서울권역 e러닝/고객관계관리/2020년2학기/중간시험범위 정리
    ①기존 매출액 중심의 가치평가에 비해 보다 정확한 고객가치를 산정할 수 있다.②기존 매출액 중심 가치평가에 비해 보다 세분화된 고객세그먼트를 도출할 수 있다.③기업은 고객자산가치의 ... 패러다임3) CRM의 유사 개념(1) 관계 마케팅(2) 데이터베이스마케팅(3) 통합 마케팅 커뮤니케이션(4) eCRM(5) 전사적 CRM(ECRM)(6) 비즈니스 인텔리전스(BI)(7) ... Channel5) 마케팅의 진화과정제품마케팅 – 직접마케팅 – 타깃마케팅 – 1:1관계 마케팅6) CRM의 발전 단계기능적CRM단계 – 고객접점 통합CRM단계 – 전략적CRM단계7)
    시험자료 | 65페이지 | 6,000원 | 등록일 2020.12.14
  • 한양사이버) 2021 고객관계관리 중간고사범위 연습문제 정답
    한다고객로열티를 평가할 때에는 인지적인 평가도구들의 한계를 보충하기 위해 정량적 측정 지표와 함께 사용한다로열티를 측정할 때는 로열티에 영향을 주는 요인을 파악해야 한다측정과 평가는 고객 세그먼트 ... 배분하고, 관리하는 부분에 초점이 맞추어져 있다.시스템 관점에서 보았을 때 영업 자동화 시스템은 CRM 시스템 구성요소 중에서 가장 오래된 반면, 큰 영역을 차지하지는 못했던 부분이다.7주차솔루션
    시험자료 | 7페이지 | 1,500원 | 등록일 2021.03.19 | 수정일 2021.04.04
  • 서울권역e러닝) 고객관계관리 및 주차별 퀴즈
    자사의 고객을 체계적인 방법으로 정의 및 분류하여 고객세그먼트별 기준 전략을 마련하는 과정인 고객세분화가 포함된다.3. ... 1) 회귀분석2) 요인분석3) 판별분석4) t-test7) 다음 중 CRM에서 기초 통계분석기법의 역할에 대한 설명으로 올바른 것은?1. ... ㄴ, ㄷ, ㄹ, ㅁ7) CRM 전략이 활용되는 산업 분야 중 정보기반이 우수함에도 불구하고, CRM 전략이 기대수준만큼 활성화되지 못했던 산업은 무엇인가?
    시험자료 | 52페이지 | 10,000원 | 등록일 2020.07.25 | 수정일 2022.10.12
  • 식품 유통업 실전 사업계획 보고서 / 실제 현직에서 사용되는 사업계획 양식 입니다. 큰 틀은 완성되어 있으며 디테일한 부분만 수정하여 사용하시면 됩니다.
    양성 대중적 인지도 확보 TVC 연계한 IMC 캠페인 진행 App 설치 마케팅 통한 고객 접점 극대화 신규 고객 Lock-In CRM 을 통한 고객 안착률 , 재구매율 제고 고객 세그먼트 ... 88% 000 억 56% 인건비 00 억 18% 00 억 11% 물류비 00 억 33% 00 억 28% 물류변동비 00 억 21% 00 억 21% 물류고정비 00 억 12% 00 억 7% ... (YoY) 421 억 (87%) 850 억 (102%) 매출이익 76 억 18% 170 억 20% 판매관리비 237 억 56% 395 억 47% 인건비 46 억 11% 59 억 7%
    서식 | 9페이지 | 2,200원 | 등록일 2021.07.19
  • [네트워크 관리사 2급 필기]시험대비! 하루전날 핵심암기하기(기출문제 위주로 분석! 합격을 위한 핵심내용정리)
    1계층(물)-비트(bit)2계층(데)-프레임(frame)3계층(네)-패킷(Peackit)4계층(전)-세그먼트(Segment)TCP의 흐름제어를 위해 Sliding Window 이용- ... 인터넷의 잘 알려진 포트(Well-known Port)FTP-21, SSH-22, Telnet-23, SMTP-25, TFTP-69, HTTP-80, POP3-110OSI7계층세[세션 ... 755즉, r(4)w(2)x(1) 그래서 rwx(4+2+1)=7이고, r-1(4+1)=5, r-1(4+1)=5리눅스 시스템에서 사용자가 내린 명령어를[엔터칠때]커널에 전달해주는 역할을
    시험자료 | 10페이지 | 2,000원 | 등록일 2021.11.13
  • 정보처리기사(필기) 4과목 개정판 요약본
    오류 제어 기능- 흐름 제어 기능게이트웨이5세션 계층메시지- 송∙수신 측 간의 대화 제어- 동기 제어 기능-6표현 계층- 코드 변환 기능- 데이터 암호화 기능- 데이터 압축 기능-7응용 ... 순서 제어 기능- 랜카드- 브릿지- 스위치3네트워크 계층패킷- 네트워크 연결을 관리- 경로 설정 기능 (=Routing)- 트래픽 제어 기능- 패킷 정보 전송 기능라우터4전송 계층세그먼트
    시험자료 | 24페이지 | 4,000원 | 등록일 2020.08.25 | 수정일 2021.10.08
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    현 실험에서는 0~9까지의 한자리를 나타내었지만 다른 7-세그먼트로 출력을 잡고 같은 코드를 추가하면 십의 자리, 백의 자리 등 더 큰 수 도 표현이 가능하다. ... 고찰Seven-segment display의 원리에 대해 배우고 BCD코드를 기반으로 실제 논리게이트에서는 디코더(7447)을 통해 BCD코드를 세그먼트 신호코드로 바꿔주고 세그먼트 ... 통해 출력할 때 a, b, c, d, e, f, g에 입력 값을 달리해 원하는 세그먼트의 각 불을 끄고 킬 수 있어 효율적이고, 빠르게 확인할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 16V8을 이용한 7-Segment용 디코더 설계
    BCD 입력을 디코딩하여 적정한 7세그먼트 출력을 발생하는 기능 외에 74LS48은 램프-테스트와 0-표시금지 기능을 가지고 있습니다.? ... 43) 7-세그먼트 ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ... PIN의 설명1, 2, 6, 7번핀 : 입력핀입니다.3번핀 : LT. Active-low. 세그먼트들의 이상 유무 테스트에 사용되는 입력핀입니다.4번핀 : RBO.
    리포트 | 46페이지 | 2,000원 | 등록일 2012.04.17 | 수정일 2021.07.04
  • 정보처리기사 필기 최종정리본 2021//(신유형)
    세그먼트 테이블 논리 주소에 대한 물리 주소논물 arp 물논 rarp 프로토콜. ... 총반복횟수; do실행문done#IPv생명주기(SDLC)의 각 단계에 관련된 7가지의 보안 강화 활동을 개발자에게 집중적으로 관리하도록 요구하여 실무적으로 검증된 개발보안 방법론입니다.NAC
    시험자료 | 79페이지 | 3,000원 | 등록일 2021.03.12
  • 기업가정신및창업기초 / (1~ 8주차) [강의노트 + 중간고사 족보 87문항] 중간고사, 과제 완벽대비
    고객 세그먼트(Customer Segment)2. 가치 제안(Value Proposition)3. 채널(Channels)4. ... 핵심자원(Key Resources)7. 핵심활동(Key Activities)8. 핵심 파트너십(Key Partnerships)9.
    시험자료 | 164페이지 | 4,000원 | 등록일 2022.08.28 | 수정일 2022.08.31
  • 한양대학교 사이버강의(싸강) 고객관계관리전략(CRM) 중간, 기말 족보 총합
    회귀분석7.CRM에서 기초 통계분석기법의 역할에 대한 설명으로 올바른 것은? ... 1) 자사의 고객을 체계적인 방법으로 정의 및 분류하여 고객세그먼트 별 기준 전략을 마련하는 과정인 고객세분화가 필요하다.2) 고객정보 수집 및 관리, 캠페인 관리 및 VOC 관리 ... 분명한 고객자산가치의 증가와 기업 수익성, 그리고 궁극적으로는 주주가치의 향상으로 이어져 조직의 성과에 가장 영향을 미치는 전략적 도구로써 활용될 수 있어야 함을 의미한다.조직성과7.
    시험자료 | 34페이지 | 10,000원 | 등록일 2020.06.15
  • 업다운카운터
    0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 막대모양의 LED 7개를 8자 모양으로 배열시키고 각 LED 하나하나를 세그먼트라고 하며, LED가 7개 사용됨으로 7세그먼트 ... K1I,Q3 Q2,Q10001111000×11×01×1××11×1××10×11×K1=Conuter Enable(1)■ 논리회로도 작성7-세그먼트7세그먼트 표시기라고 하는 소자는 ... , 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를 위한 디코더이다.1) 애노드 공통형☞ 애노드 공통형은 말
    리포트 | 10페이지 | 1,000원 | 등록일 2011.09.26
  • A+ 기업가정신 및 창업기초 기말 강의내용 요약 정리
    5) 첫 번째 아이템 실패가 사업 실패나 인생 실패는 아니다6) 큰 투자 금액을 제시하는 투자 기관에 현혹될 수 있다-투자 유치 경험자에게 자문 필요7) 대학생 창업자의 시간 관념-학생의 ... 개편한 비즈니스 모델링 도구빠름-반나절 안에 여러 개의 사업 모델 정리간결함-엘리베이터 피칭이 가능간편-다른 사람과 공유 및 수정 쉬움#비즈니스 모델 캔버스-고객 세분화, 고객 세그먼트부터
    시험자료 | 68페이지 | 3,000원 | 등록일 2020.06.20 | 수정일 2024.03.24
  • 체크리스트 FreeCantilever공법, FCM교 (14쪽)
    기시공된 세그먼트를 주기적으로 측량하여 처짐관리를 실시하였는지 여부6. ... 시공 이음부에는 몰탈이 새지 않도록 막았는가7. 거푸집의 결속 및 지지상태는 완벽한가8. ... 배기구 및 배수구의 설치는 되어 있는가7. 쉬이스관의 과소한 고율이 발생하지 않았는가8.
    서식 | 14페이지 | 1,000원 | 등록일 2022.01.22
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대