• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,519)
  • 리포트(1,358)
  • 논문(68)
  • 시험자료(43)
  • 자기소개서(21)
  • ppt테마(11)
  • 서식(10)
  • 방송통신대(6)
  • 이력서(2)

"load design" 검색결과 461-480 / 1,519건

  • 슈퍼 카 디자인 전략[Super car Design strategy]
    슈퍼 카 디자인 전략 [Super car Design strategy] [ 파가니 후에이라 Pagani Huayra . ... Aventador 예술 + 기술 = 디자인 가죽 전문가 -3 년 이상의 훈련을 거친 가죽전문가 - 완벽한 가죽으로 시트 가죽을 재단 및 부착 서스펜션 - 창조력 + 공학 - 푸쉬로드 ... 이름으로 설립 1947 년 페라리라는 이름으로 차량 처음 생산 F1 대회에 시작부터 현재까지 참여한 유일한 회사로 개인 15 회 , 단체 16 회 우승 1947 년 페라리 최초의 로드
    리포트 | 37페이지 | 3,000원 | 등록일 2016.09.03
  • 컴퓨터구조 설계 프로젝트 Handheld PIG Game
    컴퓨터구조 설계 프로젝트제목 : Design of DashWatch or Handheld PIG Game설계 이론 : Handheld PIG Game1. write a detailed ... register7-Bit RegisterTR1Total for Player 1 parallel load register7-Bit RegisterTR2Total for Player ... vectorDIEDie value- Specialized counter to count3-Bit RegisterSURSubtotal for active player parallel load
    리포트 | 23페이지 | 1,000원 | 등록일 2014.07.09
  • Multi Cycle CPU 구현
    Memory list창을 켜서 확인해 본 결과 1024번지부터 차례로 값이 제대로 들어가는 것을 확인하였다.lw(Load Word)Instruction : lw $v0, 4($a0) ... descriptionIntegrated design descriptionDesign verification strategy and resultsVerification strategyVerification ... 둘 째, 그로 인해 비용이 많이 들고, performance도 비효율 적이다.가령 lw(load word)와 같은 명령어는 같은 instruction을 수행하는데 있어서 MIPS Architecture에
    리포트 | 35페이지 | 3,000원 | 등록일 2014.06.10 | 수정일 2015.11.17
  • PROCESS DESIGN for Gloshedobin
    -화공종합설계 Process Design-PROCESS DESIGNfor Gloshedobin목차I. 서론21. Introduction 21.1. ... 이 공정에서는 Loading stream을 360L/h로 흘려준다. ... 이렇게 함으로써, Storage에서 나온 stream은 지체없이 바로 Loading과정이 진행될 수 있다.② Loading이 과정에서 size 차이에 의해 gloshedobin이 dilution
    리포트 | 89페이지 | 4,000원 | 등록일 2014.03.26
  • 오토캐드2004 활용법
    하나씩 살펴보도록 하자.Load : [Load DesignCenter Platte] 대화상자를 통해 도면을 열어 그 내용을 보는 또 다른 방법이다. ... Current : Load된 선 종류 중 선택한 선 종류를 현재 선 종류로 설정한다. -. ... Delete : Load한 선의 종류를 삭제한다. 단, 도면에 사용된 선의 종류는 삭제할 수 없다. -.
    리포트 | 43페이지 | 5,000원 | 등록일 2011.12.27
  • 도시주거환경계획 - 주거지 지구단위계획 (Urban Design) 사례조사/분석 - 용인흥덕지구
    ..PAGE:1연구과제 5주거지 지구단위계획 (Urban Design) 사례조사/분석 1..PAGE:2주거지 지구단위계획(urban design) 사례조사/분석 1용인흥덕지구1. ... 4권역 테마 : 바람③ 테마가로의 조성 방법은 아래를 따른다.1. 1권역 : 은행나무, 단풍나무 등으로 가을에 단풍이 화려한 나무를 선택하여 보행자전용도로 중앙에 1열식재로 메이플로드 ... 분석 목표 : 주거지 지구단위계획(urban design) 사례조사/분석 1..PAGE:3사업명 : 용인 흥덕지구 택지개발사업위치 : 경기도 용인시 기흥구 영덕동면적 : 2,139,068m²사업비
    리포트 | 24페이지 | 4,000원 | 등록일 2015.10.04
  • 논리회로실험 - 제 9장 KIT의 출력방식 중 하나인 LCD display 결과보고서
    Design(1)어떠한 회로를 설계할 것인가 1)1)LCD ModuleLCD Module은 디스플레이 장치의 하나로 간편하면서 손쉽게 쓸 수 있는 표시 장치이다.기본적으로 대부분의 ... Clock으로 설정해야한다.다음으로 Generate Programming File을 실행시켜서 초록색 체크 표시가 생긴 뒤,프로그램을 클릭한 후 성공시키면 Training Kit에 다운로드가 ... 100k : std_logic;signal clk_100k : std_logic;signal cnt_100k : std_logic_vector (7 downto 0);signal load
    리포트 | 22페이지 | 1,000원 | 등록일 2014.08.15
  • 웹사이트 구축 기획 한장으로 요약 / 마스트 프랜 /
    Web-site 구축 방법론컨텐츠 입력웹Page Design 및 HTML 코팅cgi 및 SQL코딩TEST서버 등록Download속도사업기획 – 마케터,산업전문가,웹기획자 : Biz-Modeling ... 이미지 : main이미지는 00적이면서 편안한 느낌을 주고, sub이미지 1은 강하게 : 고객접속 및 다운로드시에 용량을 줄일 수 있는 디자인용량비지니느컨셉과 디자인컨셉의 효과적인 ... Sub-page 디자인디자인팀 개발팀디자인팀 개발팀디자인팀 개발팀개발팀모뎀속도별 다운로드 속도 체크페이지간, 타사이트에 대한 링크트랙젝션처리,채팅,게시판 등 사이트에서 제공하는 각종
    리포트 | 1페이지 | 1,000원 | 등록일 2013.11.20 | 수정일 2015.07.20
  • ICT센터 사례 연구를 통한 EQS의 건축 구조물 면진에의 적용 연구
    한국지진공학회 유성문, 이유인, 지용수, 최대성, 김두기
    논문 | 10페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 에스콰이어 4대 핸드백 브랜드 진입을 위한 중장기 전략
    사회적 조류 □ 자신을 밝게 표현하는 여성의 증가 - 로맨틱 감성의 귀엽고 여성스러운 스타일링Customer Trend_별첨브 랜 드가 격 대유 통명 품 13%10만원 이하 22%로드샵 ... www.louisclub.com)conceptFrench Luxuryspecial factor파리 콜렉션의 국내도입으로 'Paris Origin' 강화 후 본격적인 해외진출 진행 'Louis Love Design
    리포트 | 27페이지 | 3,500원 | 등록일 2018.02.18
  • Multiple Discriminant Analysis
    America3863.363.3100.0합계60100.0100.01개의 nonmetric dependent(X4 – Region)와 13개의 metric independent(X6~X18)을 가지고 지역적 차이를 알기 위해 research design하였다 ... 이는 discriminant loadings값으로 추정하는 것보다 선호하진 않지만 함수(function)을 알 수 있다.표준화정준판별함수계수함수1X11 - Product Line-.502X13 ... Line-.467X13 - Competitive Pricing.490X17 - Price Flexibility.591(상수)-3.465표준화하지않은계수(3) discriminant loading값은
    리포트 | 18페이지 | 1,000원 | 등록일 2015.11.26
  • 고체역학 프로젝트
    ') % 제목은 Bending Momnet로 지정했습니다.xlabel('x [m]'); ylabel('Distributed Loading [N/m]') % x축은 단위가 m인 x축, ... DistLoad,'linewidth',1.5) % 이를 그래프로 나타내기 위해 plot 명령어를 사용하였으며, 선의 굵기는 1.5로 지정했습니다.)title('Distributed Loading ... -S`` GEQ `S _{design}로 단면을 선택하면, 굽힘응력의 크기는 보의 어느 곳에서도sigma _{allow}를 초과하지 않는 것으로 보장됩니다.2.
    리포트 | 17페이지 | 3,000원 | 등록일 2013.12.04
  • Audio Amplifier Circuit Design
    the output voltage at the design value regardless of changes in load current or input voltage. ... Depending on its design, a power supply may obtain energy from.②Understand the elements used in each ... this experiment.A power supply is a device that supplies electrical energy to one or more electric loads
    리포트 | 6페이지 | 1,500원 | 등록일 2012.11.27
  • K2(케이투) 웹사이트 사용성 평가 및 분석
    사용등급100요청174DNS조회 최소화100테스트 규모8%캐시 유효성100Performance Grade94.00https://tools.pingdom.com/ USA기준Visual Design평가항목점수가독성91.5시각적인 ... 우수고객센터(문의) 제공여부제공SNS 연결성ⓕ , ⓘ , ⓑ , ⓨ멀티부라우징회사명(소유자)케이투코리아(주)대표자명정영훈Web PerformanceURLhttp://www.k2.co.kr/로드시간15.96CSS결합등급70페이지크기3.6M쿼리
    리포트 | 10페이지 | 2,000원 | 등록일 2017.04.10
  • 컴퓨터구조 설계 프로젝트 DashWatch
    컴퓨터구조 설계 프로젝트제목 : Design of DashWatch or Handheld PIG Game설계 이론 : DashWatch1. write a detailed system ... SD1 : Enable load SD / 0 : Disable load SDSD ← TMUPDATELSR1 : Select TM for loading SDSame as aboveDIS ... = SDDIS =llel load register SD:16-bitversionofreg.inFig.7-2asynchronously reset : FF with directresetinputinFig
    리포트 | 16페이지 | 1,000원 | 등록일 2014.07.09
  • [항공대] 왕복기관 신비차 설계
    신비차(Flying Car) Design설계 진행 단계형상 설계양력 및 항력 계산허용 하중, 필요 추력실제적 엔진 설계추력 계산무게계산추력이 충분한가? ... 700-4/technical-specifications/BenchMarking Lamborghini AVENTADOR LP 700-4실제적 엔진 설계크랭크 반지름38.25mm커넥팅로드의 ... 시행착오법을 통해 연소온도를 계산하면 다음과 같다.주행 시 연소온도 → 2795K 비행 시 연소온도 → 2745K실제적 엔진 설계엔진의 0차원 모델링크랭크 반지름38.25mm커넥팅로드
    리포트 | 36페이지 | 3,000원 | 등록일 2016.03.10 | 수정일 2016.05.12
  • Controller Design
    Design차례1. ... Assignment #1- Feedforward Controller Design- Feedforward-Feedback Controller Design- Cascade Controller ... 32. 3. 1 Closed-Loop Transfer Function for Load Change 32. 3. 2 Examples 32. 3. 3 static 과 dynamic FF
    리포트 | 18페이지 | 1,000원 | 등록일 2013.08.11
  • 홈앤쇼핑 웹사이트 사용성 평가 및 분석
    DNS조회 최소화B등급(85점)쿼리 제거A등급(98점)캐시 유효성A등급(98점)Performance Grade72https://tools.pingdom.com/ USA기준Visual Design평가항목점수가독성89.8시각적인 ... 우수고객센터(문의) 제공여부제공SNS 연결성없음멀티부라우징회사명(소유자)㈜홈앤쇼핑대표자명강남훈Web PerformanceURLhttp://www.hnsmall.com/index.do로드시간9.70S요청237페이지크기4.4M테스트
    리포트 | 10페이지 | 2,000원 | 등록일 2017.03.25
  • 프리스트레스트 콘크리트 포장의 횡방향 긴장 설계방안
    한국도로학회 김성민, 윤동주, 배종오
    논문 | 11페이지 | 4,200원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 생산운영관리 과제
    나열해 보면,실제생산: 148,000롤유효용량: 175,000롤시간당 처리하는 개수: 1200개 per hour그리고 8시간씩 3번 교대, 일주일모두 운영한다.(1) 디자인 용량(Design ... 도시지도 좌표(x,y)운송로드A (5,10) 5B (6, 8) 10C (4, 9) 15D (9, 5) 5E (7, 9) 15F (3, 2) 10 ... ∴결론적으로 가장 권장 되는 지역은 위치C가 되겠다.문제6) 다음 표는 중앙 도시 “hub"와 연결되길 바라는 도시의 집합에 대한 지도좌표와 운송로드를 제공한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.02.04
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대