• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,288)
  • 리포트(2,105)
  • 시험자료(102)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 481-500 / 2,288건

  • 건설안전산업기사 필답형 간단정리
    숏크리트와 지보재로 보강하여 지반을 안정시킨 후 터널굴착하는 방법-Shield : 철제로 된 원통형 실드를 수직구안에 투입시켜 커터헤드를 회전시키면서 터널을 굴착, 실드 뒤쪽에서 세그먼트를 ... 이상6.해체공사 공법에 ㄸㆍ라 소음과 진동 대책 4가지-압쇄기 시공시 항상 주의 시공-중기 사용시 충격 회피-컴프레셔 등은 적당한 장소에 설치-인접건물 피해방지를 위해 방음시설 조치7. ... 유해위험방지 계획5.리프트 설치조립 작업시 지휘자 이행사항-작업중 안전대 등 보호구의 착용상황 감시6.무재해운동 3기둥-최고경영자의 엄격한 경영자세-안전활동 라인화-직장자주안전활동 활성화7.
    시험자료 | 7페이지 | 3,000원 | 등록일 2021.11.17
  • <논리회로실험>수체계
    LED에 흐르는 전류를 제어함으로써 일부 세그먼트는 밝아지고 다른 세그먼트들은 어두워지면 원하는 문자 형태가 생성되는 것이다.그림 1. 7-segment display애노드 공통형( ... 표의 출력 열에 7-세그먼트 디스플레이에 보이는 결과를 나타내어라.그림 8. 입력 0000, 출력 0그림 9. 입력 0001, 출력 1그림 10. ... 한 가지 일반적인 방법은 각각의 세그먼트에 LED를 이용하는 것이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.14
  • 어셈블러 구조 및 실행과정 및 용어정리
    기계어의 활용 분야7. 인텔 8086 실행과정- 용어정리8. CISC와 RISC 방식9. 세그먼트 레지스터- 실제 주소값 구하기10. 어셈블리어의 기본 구조11. ... = 거리 = 상대주소실제 주소 값 = 세그먼트 값 + 오프셋 값-주소 계산 예시1 F 0 0 0 0은 shift 4비트 -세그먼트 주소+ 0 2 A 5 -오프셋 값--------- ... 세그먼트 레지스터(1)CS(C(4)SS(Stack Segment) : 인터럽트와 서브루틴의 반환 주소를 저장.이들 세그먼트 레지스터는 서로 겹치지 않고 64K * 4 = 256K바이트의
    리포트 | 11페이지 | 1,500원 | 등록일 2019.08.05
  • 논리회로실험 팀 프로젝트
    그리고 3입력 7-세그먼트를 카르노맵을 이용해 간소화를 하고 동기식 8진 카운터의 출력값을 7-세그먼트의 입력 값에 넣어 0~7까지 숫자가 나오는지 확인하고 동기식 카운터와 7-세그먼트를 ... 논리회로5-1[동기식 카운터]의 논리 회로도[3입력 7-세그먼트]의 카르노맵[3입력 7-세그먼트]의 논리 회로도5-2 실험순서① 실험을 하기에 앞서 3입력 7-세그먼트의 진리표를 작성하여 ... 실험제목동기식 8진 카운터를 이용한 7-세그먼트3.
    리포트 | 9페이지 | 1,000원 | 등록일 2018.03.02
  • 시립대 전전설2 [8주차 결과] 레포트
    Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... Summarize experiment contents & purpose of this LabFND COUNTER를 구현하는 방법을 익혔고 7-세그먼트 디코더를 사용하여 FND와 FND ... 코드를 키박스에 넣어서 원하는 세그먼트 숫자와 부저의 소리가 나는지 확인하는 실험나.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • LED, 7SEG 회로구성 및 LABVIEW 결과레포트
    실험 목적LED 순차 점등 회로 및 7 세그먼트 출력 회로를 구성하고 구동 프로그램을 작성, 구동시킴으로써 디지털신호의 출력 원리 및 방법을 이해한다.2.내용● 용어- LED란? ... 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 점 행렬에 비해 단순하여 전자 회로의 내부적인 수치를 보여 주는 데 주로 사용된다. ● 필요 장비 ● LED 회로도● 7-segment 회로도3.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.11.25
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    컨트롤러를 구현한다. oS_COM(SEG_COM)은 각 세그먼트 모듈(숫자 하나)을 켜거나 끄고 HBE-COMBO에서는 0일 때 켜진다. oS_ENS(SEG_DATA)는 7 세그먼트의 ... segment{A2,B2,C2,D2,E2,F2,G2}= 10의자리 7-segmentSEG_COM, SEG_DATA = PIN assigment 표 참조 다음의 그림과 같이 7 세그먼트 ... 라인 디코더를 이용하여 4bit 입력과 7bit 출력 2개를 갖는 디코더를 설계한다. 7bit 출력 한 개는 일의 자리를 표현하고 나머지 7bit 출력은 10의 자리를 표현한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • AT89C51을 이용한 전자주사위
    고찰7 세그먼트는 잔상을 이용해서 글자를 표시하는 소자다. ... 실험 준비물bread board, 저항(1kΩ 12개, 330Ω 4개), LED 4개, 스위치 5개, 수정발진기 1개, 7세그먼트 4개, 트렌지스터 4개, 콘덴서(22uF 1개, 20pF
    리포트 | 5페이지 | 1,000원 | 등록일 2012.04.30
  • 텀 프로젝트 : 7-Segment 표시논리
    설계목적: 7-세그먼트 표시장치(이하 세븐세그먼트)는 문자나 숫자를 표시하기 위한 장비 등에서 쉽게 찾아볼 수 있는 장치이다. ... IC의 기본이 되는 AND, OR, NOT 게이트를 논리식에 맞춰 설계를 하면 우리가 원하는 세븐세그먼트의 논리적 출력을 얻을 수 있다.브레드보드, 7-Segment, IC(3input ... 설계회로구성: 7-Segment의 7개의 Segment들.
    리포트 | 20페이지 | 2,500원 | 등록일 2012.04.17
  • 비즈니스모델캔버스-애플ios
    따라서 IOS의 고객 세그먼트는 애플 자사제품(iphone, ipad 등)의 고객 세그먼트와 같다. ... 현재 애플닷컴에서는 IOS7에 맞게 디자인 및 개발할 수 있도록 API를 통합할 수 있게 해놓았을 뿐만 아니라 앱 테스트 및 제출 방법까지 상세하게 볼 수 있다.핵심파트너십애플의 파트너로는 ... BUSINESS MODEL CANVAS를 이용한 애플 IOS비즈니스 모델 분석고객 세그먼트IOS는 애플의 자사제품에만 탑재되어 있다.
    리포트 | 2페이지 | 2,000원 | 등록일 2016.10.25
  • [디지털 논리회로 실험] 9장. 인코더와 디코더 결과레포트
    세그먼트 디코더 ic)칩을 이용하여 실험을 하였다. 7-세그먼트 디코더의 입력은 10진 BCD코드이며 출력은 7-세그먼트 표시기에 내장된 LED로 연결되어 해당 10진을 출력함을 실험을 ... 회로도에 IC 핀번호를 입력하라.(2)_다음과 같이 입력 값을 인가하고 출력을 관찰하라.실험 9.4 7-세그먼트 디코더 및 표시기(1)_7447 IC 및 7-세그먼트 표시기를 이용한 ... 세그먼트)
    리포트 | 2페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 논리회로실험 7주차 예비보고서
    실제 진리표는 왼쪽이 표와 같이 나타난다.③ 7-segment LED세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 세그먼트에 사용되는 디스플레이로 숫자를 표시 할 수 있다. ... BCD-7 세그먼트 디코더는 BCD숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있고 디코더의 7개 출력(a, b, c, d, e, f, g)은 다음과 ... 세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 회로를 설계하는 입장에서는 디코더라 칭할 수 있지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • LED와세그먼트 결과레포트
    측정 및 기록7세그먼트 LED 측정숫자입력 스위치 패턴7세그먼트 LED 점등패턴0a, b, c, d, e, f1,1,1,1,1,1,01b, c0,1,1,0,0,0,02a, b, g, ... 보자.7세그먼트 LED를 구동하는 IC를 보통 디코더라고 한다.BCD code를 7세그먼트 드라이버와 대응시켜 숫자 표시를 한다.2진수의 불편함을 해소하기 위해서 2진 또는 BCD ... code를 10진수로 변환한다.결론 및 고찰이번 실험으로 우리 생활에서 많이 봐 왔지만 잘 모르고 그냥 지나치기만 했던 LED와 7세그먼트의 기본원리와 작동원리, 구조 등에 대해서
    리포트 | 2페이지 | 1,000원 | 등록일 2013.05.24
  • [전자정부법] 정보화사업 착수계(사업책임자계, 청렴서약서, 사업수행계획서, 보안서약서)
    사업추진절차단계명(phase)세그먼트명(segment)단위업무명(task)수 행 업 무산 출 물비 고※ 기술용역개발사업의 경우 개발방법론을 사용한다.7. ... 사업추진절차7. 산출물계획8. 일정계획9. 공정별 투입인력계획10. 보고계획11. 표준화계획12. 품질보증계획13. 위험관리계획14. 보안대책15. 교육계획16.
    서식 | 11페이지 | 무료 | 등록일 2022.11.15
  • 스톱워치 구현 보고서
    하지만 무시할 수 있을 정도의 짧은 시간이므로 6진 카운터로 동작한다.4) 7세그먼트(FND)7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를 ... 그림 (a)와 같이 8개의 세그먼트는 위쪽부터 시계 방향으로 a부터 h까지 이름을 붙인다. ... 실험절차① 100 자리를 위한 mod-10 카운터 회로를 설계한다.② 101 자리를 위한 mod-6 카운터 회로를 설계한다.③ 주어진 회로 구성도와 같이 두 회로를 직렬연결한 후 BCD-7SEG
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • [디지털 논리회로 실험] 9장. 인코더와 디코더 예비레포트
    따라서 7-세그먼트 디코더의 입력은 10진 BCD코드이며 출력은 7-세그먼트 디코더는 BCD코드의 해당 10진 값을 7-세그먼트 표시기에 나타낼 수 있도록 하는 디코더이다. ... 아래 그림은 7-세그먼트의 구조 및 표시 형태를 나타낸 것이다.7-세그먼트 진리표(공통 캐소드)10진수입력세그먼트 출력D C B Aa b c d e f g01234567890 0 0 ... .- 7-세그먼트(Segment)숫자 표시기에 대해 알아본다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 주차장요금기
    At128mega 를 이용한 주차장 요금기주차장 요금기 AVR 회로 식 (C 언어 )7- 세그먼트 자릿수 적용 Include avr / io.h 로 시작을 해서 avr 로 이용한다는 ... AVR 의 세그먼트 포트는 A 와 C 이므로 그 중에서 A 만 출력값이 나타나게 합니다 .타이머 적용 후 세그먼트 출력 설정 맨 위의 식부터 주기는 1 초로 적용을 시키고 난 후 그 ... 세그먼트 숫자가 증가하게 설정한 후 PORT A 에는 FND 가 출력이 되게 설정을 합니다 .각 레지스터 값 설정한 후 딜레이 설정 TIMSK 는 타이머 마스크로 인터럽트를 허용하고자
    리포트 | 7페이지 | 2,000원 | 등록일 2014.06.17
  • 디지털논리회로 텀프로젝트
    HIGH의 출력을 받은 캐소드형 세븐세그먼트는 소자에 인가된 GND로 전압이 빠지면서 7세그먼트 상의 해당 LED에 불이 들어오게 한다. ... 수 있는 장치이다. 7447디코더는 7세븐세그먼트의 구동을 위해 설계된 디코더이다. ... 하지만 기판제작 결과 다시 주기가 1초보다 조금 길었다.두 번째 문제는 7447디코더는 애노드형 세븐세그먼트를 위한 7447디코더를. 7세그먼트는 캐소드형으로 구매하여 브레드보드에
    리포트 | 7페이지 | 2,000원 | 등록일 2016.08.17
  • 8051을 이용한 7-segment
    < 실 험 결 과 보 고 서 >1.첫 번째 실험제목 : 7세그먼트 동작시키기 ( 2-2-3 )(1) 배경이론 및 관련이론→ 7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며 ... 아래 [ 그림1-1 ]은 7세그먼트 표시 장치의 구성을 나태내는 그림입니다.[ 그림1-1 ][그림 1-2]7세그먼트 표시기는 애노드 공통형(common-anode type)과 캐소드 ... 아라비아 숫자 외에도 대문자 또는 소문자의 영어문자도 각 획의 ON/OFF를 통해서 나타낼 수 있습니다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고
    리포트 | 9페이지 | 1,000원 | 등록일 2008.10.10
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대