• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,167)
  • 리포트(3,707)
  • 자기소개서(225)
  • 시험자료(143)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"디지털논리회로" 검색결과 501-520 / 4,167건

  • 디지털논리회로실험 장비사용법 및 시뮬레이션 툴 사용법
    따라서, 이 경우에는 다이 오드를 통해 부하에 전원을 공급한다.(2) 논리실험장치 - 디지털 논리논리값 ‘0’, ‘1’ 중 어느 하나에 할당시켜 실세계를 표현한다. ... 논리실험장 치란 그러한 논리소자의 기본적인 특성을 확인하고 실험하는 장치 중 하나이다.◎ 사용법1. 전원전압을 사용전압에 맞추어 선택2. ... 거의 모든 회로에 대한 시뮬레이션을 손쉽게 수행.
    리포트 | 2페이지 | 1,000원 | 등록일 2007.11.21
  • [논리 회로 실험]디지털 논리회로 프로젝트 Ripple Adder와 CLA(Carry look ahead) Adder의 비교
    논리회로 PROJECT #1 ... 방식은 코딩이 간단하지만 지연시간과 해저드 발생구간이 많아지고 CLA방식은 코딩이 복잡하지만 지연시간과 해저드 발생구간이 적다.Ripple Adder의 모양CLA Adder의 모양디지털 ... 이렇기 때문에 Gate수는 많고 회로가 조금 복잡하지만 지연시간이 줄어들고 input들이 거의 동시에 Full Adder로 들어가기 때문에 해저드가 발생하는 구간이 적은 편이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2005.10.26 | 수정일 2023.05.27
  • 디지털논리회로 11장 연습문제풀이
    (b)체인내의 한 스테이지에 대한 회로도를 그려라.11-25) 조합회로 설계기법을 사용하여 표 11-1에 기재된 불함수를 유도하고, 우선순위 인코 더의 논리도를 작성하여라.
    리포트 | 6페이지 | 1,000원 | 등록일 2004.12.02
  • 디지털논리회로 4장 연습문제풀이
    디지털 시스템이 16개의 레지스터를 갖고 있다. 각 레지스터는 32비트이다. 각 레지스터로부터 다른 레지스터에 병렬 데이터 전송을 제공하는 것이 필요하다. ... (a)1-4절에서 유도한 불함수로부터 전감산기의 논리도를 그려라.▶{{(b) 4개의 전감산기로 구성된 2진 병렬감산기의 블록도를 그려라. A를 피감수, B를 감수로 놓는다. ... 인크리멘트, 보수 및 병렬전송 마이크로동작을 위한 제어 입력을 포함시키고 JK플립플롭으로 구성된 4비트 레지스터의 논리도를 그려라. 2의 보수가 이 레지스터에서 어떻게 실현되는가를
    리포트 | 4페이지 | 1,000원 | 등록일 2004.12.02
  • 디지털논리회로 3장 연습문제풀이
    즉, +가 필요하다.만약 패리티가 홀수 + 아니면 반대 논리로 역시 짝수가 된다.3-34. ... 이 회로에서 7비트의 정보에 대한 우수패리키 비트를 발생시키려고 할 때 여덟 번째 입력은 무엇이어야 하겠는가? ... 여덟 개의 입력과 두 개의 출력(우수 패리티, 기수 패리티)을 가지는 8비트 패리 티 발생기, 검출기의 회로를 그려라.
    리포트 | 5페이지 | 1,000원 | 등록일 2004.12.02
  • [공학기술]Digital 논리회로 실험에 관한 보고서(결과보고서)
    Digital 논리회로 실험에 관한 보고서학과전자전기공학부학번20021296조성명김완섭점수표 1. ... 아날로그 소자들을 통해 디지털 회로가 어떻게 구성되어지는지 실험을 통해 알아 볼 수 있었다. ... ☞검토 및 결론1학년 때 기초논리회로 시간에 배운 TTL NAND gate의 동작원리를 알아 볼 수 있었고, 부하를 점점 늘려서 달아봄으로써, 출력측에 같은 소자를 몇 개나 부하로
    리포트 | 2페이지 | 1,000원 | 등록일 2007.07.29
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 6장 대소 비교 회로 및 다중 출력 회로
    결과를 출력하는 1bit 2진 비교기의 진리표 및 논리회로는 [그림 6-1]과 같다. ... 일치회로라 한다. 2입력 일치회로의 진리표 및 논리회로는 [그림 6-2]와 같다.다중출력 기능을 하는 회로로서 이진 자승기(Binary Square Table Generator), ... 즉, 이 회로는 입력 A, B를 비교하여 W, X, Y에 두 수의 비교 결과를 출력하는 조합 논리 회로이다. 1bit의 2진수 A, B 2개를 비교하여 W, X, Y에 두 수의 비교
    리포트 | 10페이지 | 1,500원 | 등록일 2005.03.30
  • [연구수업지도안]고등학교 디지털 논리 회로(III. 불대수)
    본 교재는 불 대수 등 디지털 논리 기초 이론을 바탕으로 조합 논리 회로와 순서 논리 회로 등의 동작 원리를 습득하여 디지털 응용 회로의 설계, 제작 관련 실무에 활용할 수 있도록 ... 이에 따라 전자통신 분야에서의 `디지털 논리회로`는 필수 전공과목으로 디지털 논리에 관한 기본 이론과 이를 이용한 디지털 회로의 설계 등의 기술을 익힐 수 있도록 구성된 이론․실습 ... 교재명 : 고등학교 디지털 논리 회로(교육인적자원부)1. 불 대수와 기본 논리 게이트2. 불 대수와 기타 논리 게이트나. 단원명 : 대단원 - Ⅲ 불 대수중단원 - 1.
    리포트 | 15페이지 | 3,000원 | 등록일 2007.06.14
  • 연세대학교 2008년 디지털 논리회로 토카안/김홍식/테오벵진 교수님 프로젝트(플립플롭을 사용한 스톱워치 설계)
    이번의 Term Project는 C언어를 사용해서, 스톱워치를 설계하는 것이다. 스톱워치는 간단한 시작/정지 버튼과, 리셋 버튼을 가지고 있다. 스톱워치는 0.01초 단위로, 4개의 숫자결과가 출력된다(XX.XX초). 이를 설계하기 위해서는 스톱워치의 숫자결과당 하나의..
    리포트 | 10페이지 | 2,000원 | 등록일 2011.12.18
  • [논리 회로 실험]디지털 논리 회로 실험, 실습(기본 논리 게이트 - AND,OR,NOT,NAND,NOR,XOR,XNOR)
    디지털 논리 실험-결과 보고서-Chap 1 2 3담당조교 *** 조교님전기전자공학부044**** ***044**** ***1.실험 결과Chap1.기본 논리 게이트(AND, OR, NOT ... )Chap2.기본 논리 게이트(NAND, NOR)Chap3.기본 논리 게이트(XOR, XNOR)2.실험분석 및 고찰Chap1.에서는 AND, OR, NOT 게이트를 가지고 실험을 하였다
    리포트 | 5페이지 | 2,000원 | 등록일 2005.10.17 | 수정일 2023.05.27
  • [공학기술]디지털 논리회로 1,2,3장 예비보고서
    실험 목적논리 회로에서 가장 많이 사용되는 유니버셜 게이트인 NAND, NOR Gate의 기본 논리 동작 및 특성을 실험을 통하여 이해한다.2. ... 논리 실험기? ... 실험목적Exclusive-OR(XOR) 및 Exclusive-NOR(XNOR) 게이트의 기본 논리동작 및 특성을 실험을 통하여 이해하며 그 응용회로를 학습한다.2.
    리포트 | 36페이지 | 1,000원 | 등록일 2007.05.08
  • D&A converter 컨버터 디지털 아날로그 컨버터 (논리회로 실험 결과)
    함양하여 사회적 책임을 다하는 엔지니어로 성장시킨다.나는 위 교육목표를 숙지하여 공학교육인증을 이수하는데 최선을 다할 것을 서약합니다.학 부: 전자공학부제출일: 07.11.17과목명: 논리회로 ... 대부분의 경우, 만약 아날로그-디지털 변환기, 즉 ADC가 통신회로의 DAC 뒷부분에 놓여진다면, 디지털 신호 출력은 디지털 신호 입력과 동일하다. ... 이러 한 기능을 수행하는 회로가 바로 DAC이다. 기본적으로, 디지털-아날로그 변환은 아 날로그 -디지털 변환의 정반대이다.
    리포트 | 8페이지 | 3,000원 | 등록일 2007.11.18
  • [디지털논리회로] FLIP-FLOPS에 대하여..
    개 요1) 메모리(기억) 소자- 메모리(기억) 소자는 디지털 논리회로의 구현에 있어 이전의 논리상태를 기억하고 또다른 입력조건에 따라 출력의 상태를 바꾸는 논리 게이터 소자로써 많은 ... 논리회로 : 메모리스(memoless) 논리- 이전의 입력상태를 기억억후 새로운 조건이 입력시 출력을 내 보내는 논리회로: 메모리논리 (응용의 예 : CPU의 레지스터 회로, 기억논리회로 ... 논리회로의 설계에서 응용되고 있다.현재 가장 많이 활용되고 있는 대표적인 논리기억장치.
    리포트 | 4페이지 | 1,000원 | 등록일 2003.05.12
  • [공학]디지털 논리회로 RS플립플롭 JK,D 플립플롭
    과 목학 번성 명제 출 일실 험 보 고 서?RS F/F JK F/FCPRSQQ*************1101011001010110010110111111CPJKQQ00000110001001011010101001110100111011111D F/FCPDQQ000001000..
    리포트 | 4페이지 | 1,000원 | 등록일 2006.04.07
  • [디지털 논리회로 설계] 비동기식 / 동기식 카운터
    관련이론1) 동기식 순차회로와 비동기식 순차회로순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다. ... 동기식 순차회로와 비동기식 순차회로의 예를 들기 위해 그림 9-1에 동기식 카운터라고 불리는 회로와 비동기식 카운터라고 불리는 회로를 나타내었다. ... 동기식 순차회로회로 구성에 사용된 모든 플립플롭들이 하나의 공통 클럭을 동시에 공급받도록 구성된 회로를 말한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2005.05.21
  • [컴퓨터] 디지털논리회로 (컴퓨시스템 구조)
    ..PAGE:1컴퓨터 시스템 구조Chap. 1 디지털 논리 회로..PAGE:21.1 디지털 컴퓨터디지탈 컴퓨터- 여러가지 계산을 수행하는 디지탈 시스템- 0과 1의 두개의 숫자만을 ... 이용하는 2진수 시스템- 컴퓨터 내부의 정보가 제한된 수의 불연속적 값으로 표시디지탈 시스템- 전자 부품의 물리적 제약과 인간의 논리가 2진적(True, False)이라는 이유때문에 ... - 입력과 출력을 가진 논리 게이트의 집합- 출력의 값은 입력의 0과 1들의 조합의 함수순차회로- 게이트뿐만 아니라 플립플롭과 같은 기억회로를 포함2n개의 입력과 m개의 출력을 갖는
    리포트 | 30페이지 | 1,000원 | 등록일 2003.12.17
  • [전자공학 예비보고서] digital 논리회로
    목 표digital 논리회로의 하나인 TTL NAND gate의 동작원리를 알아보고 출력측에 같은 종류의 소자가 몇 개나 부하로 연결될 수 있는지를 나타내는 fan-out의 의미를 ... 배 경 이 론< TTL NAND gate >>{□forward current gain {beta _F =20, reverse current gain {beta_R =0.1□논리 0/ ... base전류가 되며, 그 량은 {Q_2를 saturation시 켜서 그 collector전위가{v_Y =v_C2 =V_CE,sat =0.2[V](low)가 되도록 하기에 충분하도록 회로
    리포트 | 9페이지 | 1,000원 | 등록일 2003.12.20
  • [디지털 논리회로] 학습지도안
    회로디지털 논리 회로 또는 간단히 논리 회로라고 한다.이 단원에서는, 논리 회로 동작에 기초가 되는 수의 진법 체계와 논리 대수, 그리고 반도체 소자를 이용한 기본적인 논리 회로 ... 단원명대단원 : Ⅸ 디지털 논리 회로소단원 : 1) 수의 표현2) 2진-10진수 변환과 2진수의 사칙 연산3) 기본 논리 소자4) 논리 대수와 기초 논리 회로2. ... 디지털 논리 회로 학습지도안ㆍ일 시 : 2001년 10월 5일 (금) 3교시ㆍ장 소 : 1103ㆍ대 상 : 경동대학교 3학년 교직 이수 자ㆍ지도교사 : 노 진호 교수님ㆍ지도학생 :
    리포트 | 7페이지 | 1,000원 | 등록일 2001.11.16
  • [전기전가](디지털논리회로실험)인코더, 디코더 (Encoder, Decoder) 결과 보고서
    인코더, 디코더 (Encoder, Decoder) 결과1. 실험 결과(1) Verilog 코드module PRIORITY_ENCODER_8_TO_3 (D, XYZ); // module 설정input [0:7] D; // input 선언 (1비트 8개)output [2:..
    리포트 | 10페이지 | 1,000원 | 등록일 2007.08.14
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 및 감산기
    논리실험기 (Digital Logic Lab. Unit)? 7408 (4조 2입력 AND Gate)? 7432 (4조 2입력 OR Gate)? 7404 (6조 Inverter)? ... [그림 8-3] 7483을 이용한 2진 감산의 예2.3 BCD 가산기컴퓨터와 같은 디지털 시스템에서의 연산은 이진법을 사용하지만 우리가 일상적으로 사용하는 수는 10진수이므로 BCD ... 5장의 반가산기 회로 한 개와 전가산기 회로 3개를 사용하여 [그림 8-1]과 같이 구성할 수 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대