• 통큰쿠폰이벤트-통합
  • 통합검색(1,712)
  • 리포트(1,683)
  • 시험자료(14)
  • 방송통신대(6)
  • 자기소개서(5)
  • 서식(2)
  • 논문(1)
  • ppt테마(1)

"신호발생기 예비보고서" 검색결과 501-520 / 1,712건

  • 전자회로실험 16장 측정기법 예비레포트
    그림 1순서 4(j)의 결과를 비교하라.실험방법 및 유의사항-위 내용에 기술하였습니다.참고문헌본 예비보고서 작성에 참고한 문헌 및 website 정보를 기록[1]오실로스코프 AC-GND-DC스위치 ... 주파수를 계산하라.주파수(f)=실험실 진행f.계산한 주파수를 신호 발생기에서 설정한 주파수와 비교하라. ... h.주파수 계수기를 출력 전압 단자에 연결하고 표시된 주파수를 기록하라.f(계수기)=실험실 진행i.계수기에 표시된 주파수가 오실로스코프를 이용한 계산값과 신호 발생기의 설정값 중 어느
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.11
  • 아주대학교 자동제어실험 2번 실험 / 적외선센서 / 예비보고서
    2번 실험 예비보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 2. 적외선 센서1. 실험 목적본 실험에서는 적외선 센서의 사용법에 대해 다룬다. ... 이 신호를 사용하여 물체까지의 거리에 비례하는 전압 신호로 변환한 후 출력한다.실험 1. ... 이와 더불어서, 펄스 신호도 사용한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.06
  • [A+] 중앙대 전자회로설계실습 예비보고서 10주차 Oscillator 설계
    전자회로 설계 및 실습예비보고서학 부전자전기공학부학 번조이 름실 험 일제 출 일담당 교수담당 조교설계 실습 10. Oscillator 설계1. ... 목적OP-Amp를 이용한 Oscillator (신호발생기)를 설계 및 측정하여 positive feedback의 개념을 파악하고, 피드백 회로의 parameter 변화에 따른 신호 ... `0.47 muF으로 주어진 경우,T _{1} =T _{2} =`0.5`m`sec0.5msec가 되도록 아래 그림 1의 신호발생기를 OrCAD를 이용하여 설계하고 설계도를 제출하라.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.04.07
  • 중앙대 전자전기공학부 전자회로설계실습 예비보고서(실험6)
    전자회로 설계 실습예비보고서설계실습 6. Common Emitter Amplifier 설계실험일시 :작성자 :담당교수 :이름학번분반실험날짜설계실습 6. ... 입력신호의 크기를 줄이기 위하여 υin 단자와 접지 사이에 50 Ω보다 작은 저항 Ri를 연결한 회로에 대하여 Vmax/|Vmin|가 95%이상이 되도록 저항을 PSPICE로 구한다 ... 이다.Rin = = 2.27실험 절차※ 다른 지시가 없다면 측정값은 유효숫자 세 자리까지 기록한다.※ 자리에 앉으면 우선 DMM과 DC Power Supply, 오실로스코프, 함수발생기의
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.18
  • 서강대학교 고급전자회로실험 - 실험 2. 전력 증폭기 결과 보고
    고급전자회로 실험 결과 보고서실험 2. 전력증폭기분반학번이름조학번이름시작종료실험시작/종료시간 기재(통계 목적임)예비보고서는 아래 양식에서 ‘1. ... 실험결과’에 시뮬레이션 결과를 입력하여 제출한다.결과보고서는 예비 보고서에 측정결과 및 분석을 추가하고, ‘2. 고찰사항’을 작성하여 제출한다.1. 실험결과1. ... DC에서의 전압이득 (v _{OUT} /v _{I`N})시뮬레이션 :A _{v}=1.002V/V측정 :A _{v} =1.003V/V1.2 입력 신호v _{SRC} (진폭 100 mV
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 배전압 회로 레포트
    실험예비 보고서① 배전압 회로의 동작 원리를 설명하시오.전압 곱셈기는 입력 변압기의 정격전압을 증가시키지 않고 정류된 피크 전압을 증가시키는 클램핑 작용을 이용한다.2차 전압의 양( ... 실험 방법(1) 배전압 회로를 브레드 보드에 구성 한 후 파형발생기로 사인파를 입력시킨다.(2) 오실로 스코프의 CH1을 입력단에 연결하고 CH2에 a마디를 연결하여 측정값을 기록하고 ... 실험결과항목측정값[V]계산값[V]V _{m}(입력신호 진폭)4.9 V·R _{L} =#100K OMEGA V _{C1}4.7 V5 VV _{C2}-8.689 V-10 VV _{o}-
    리포트 | 3페이지 | 1,000원 | 등록일 2020.03.15 | 수정일 2020.03.17
  • 제너다이오드와 응용회로 실험 예비보고서
    교류 및 전자회로실험 예비보고서전기공학과 2017732038실험 회차 : 8실험 명 : 제너다이오드와 응용회로실험 7. 제너다이오드와 응용회로1. ... 예비보고서(1) 그림 8의 회로에 대해 부하선과 제니다이오드의 특성곡선으로부터 회로의 동작점을 결정하는8에서 전원 전압이 10V~20V 사이로 맥동하고 부하 저항은 500Ω ~2kΩ ... 실험기기테스터, 오실로스코프, 함수발생기, 전류계 100mA, 만능기판, 만능기판용 전선, 스트리퍼, 제너다이오드(5.1V, 500mW) 2 개, 다이오드(30V, 100mA) 20
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.04 | 수정일 2021.04.08
  • 설계실습 7. Common Emitter Amplifier의 주파수 특성 예비레포트
    그러므로 9주차 보고서에서 구한 이 식으로 근사화 되기에 overall voltage gain의 값도 달라진다. 그러므로 가 변하면 위에 값들이 변하는 것이다. ... Common Emitter Amplifier의 주파수 특성예비 레포트전자전기공학부3.1 Common Emitter Amplifier의 주파수특성*모든 계산결과는 반올림하여 유효숫자 ... Function Generator의 내부저항에 비해 이 회로의 저항이 매우 크므로 발생시킨 전압의 거의 전부가 회로에 걸린다. 그러므로 10mVpp로 설정해야 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.03.09 | 수정일 2021.03.12
  • [A+] 중앙대 전자회로설계실습 예비보고서 1주차 Op Amp를 이용한 다양한 Amplifier 설계
    전자회로 설계 및 실습예비보고서학 부전자전기공학부학 번조이 름실 험 일제 출 일담당 교수담당 조교설계실습 1. Op Amp를 이용한 다양한 Amplifier 설계1. ... 설계실습 계획서3.1 센서 측정 및 등가회로출력신호가 주파수 2KHz의 정현파인 어떤 센서의 출력전압을 오실로스코프(입력임피던스 = 1 MΩ)로 직접 측정하였더니 peak to peak ... 다만 회로의 입력신호가 (+) 입력단자에 연결되어야 한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.07
  • A+ 연세대학교 기초아날로그실험 10주차 결과레포트
    PCB에 대한 이해 및 실습결과보고서학번 이름1. ... 이때 ERC(Electrical Rule Check)란 회로 상에 open 혹은 short된 부분이 있는지 혹은 pin이 서로 겹친 부분이 있어서 입출력 신호에 문제가 발생하지는 않는 ... 이 오류가 발생한 이유는 우리가 구현한 소자들의 via 크기를 DRC 조건을 고려하지 않고 실제 소자의 datasheet에만 부합하도록 구현했었기 때문에 발생한 오류이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2023.07.03
  • 중앙대 전자전기공학부 전자회로설계실습 예비보고서(실험7)
    전자회로 설계 실습예비보고서설계실습 7. Common Emitter Amplifier의 주파수 특성실험일시 :작성자 :담당교수 :이름학번분반실험날짜설계실습 7. ... 설정해야 20mVpp를 출력한다.실험 절차※ 다른 지시가 없다면 측정값은 유효숫자 세 자리까지 기록한다.※ 자리에 앉으면 우선 DMM과 DC Power Supply, 오실로스코프, 함수발생기의 ... 수치를 포함하여 요약한다.- 설계실습계획서에서 설계한 회로와
    리포트 | 11페이지 | 1,500원 | 등록일 2021.08.18
  • 디지털 논리회로 실험 4주차 Multiplexer 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Multiplexer소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 나타난다.SelectData InputsEnableOutputBAC0C1C2C3/GYxxxxxxHLLLC0xxxLC0LHxC1xxLC1HLxxC2xLC2HHxxxC3LC3(3) 함수 발생기 ... 이를 이용하여 기본 실험 (2)를 어떻게 결선할 수 있는지 설명하시오. : 복호기 진리표에서 E를 입력 Y로, A,B를 각각 선택 신호 S1과 S0로 바꾸어도 진리표는 변함이 없다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22 | 수정일 2022.04.17
  • 기초전기실험-예비보고서-AC6_Frequency Response of the Series R-C Network
    기초전기실험 예비보고서 AC6 Frequency Response of the Series R-C Networkac 6. ... 따라서 RC 회로에서 임피던스 크기 Z는 주파수 값에 반비례한다.신호발생기의 전압의 크기는 일정하게 유지시키고 주파수만을 변화시킨다.주파수가 증가하면X _{C}는 감소한다. ... }이므로X _{C}가 증가하면 총 임피던스의 크기도 증가하며 반대로X _{C}가 감소하면 임피던스의 크기도 따라서 감소한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.10.05
  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 예비
    5장, 인코더, 디코더, 멀티플렉서, 디멀티플렉서 예비보고서1. 목적가. 인코더와 디코더의 기능 및 그 구성 방법을 익힌다.나. ... 인코더‘부호기’라고도 부르는 인코더는 디코더의 반대 기능을 수행하는 회로이다. 2ⁿ개 또는 이보다 적은 개수의 신호를 입력받아 그에 상응하는 n개의 출력신호를 만든다. ... 이 인코더는 4개의 입력 중 어느 한 입력으로만 ‘H'가 들어오는 경우만을 고려하였으며, 이를 제외한 나머지 경우는 발생하지 않는 다고 가정하여 설계된 것이다.그림 5-6의 인코더
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 산업안전기사 필답형 과년도 15년치 기출문제(19년3회~05년3회)
    꼬인 것4.공정안전보고서 이행 상태의 평가에 관한 내용이다. 다음 ()를 넣으시오 (4점)가) 고용노동부장관은 공정안전보고서의 확인 후 1년이 경과한 날부터 (?) ... 년 이내에 공정안전보고서 이행 상태의 평가를 하여야 한다.나) 사업주가 이행평가에 대한 추가요청을 하면 (?) ... (4점)1.로봇의 조작방법 및 순서2.2인이상 근로자에게 작업을 시킬때의 신호방법3.작업중의 이상이 되는 지반의 굴착작업을 하는 경우 작업계획서 포함사항을 쓰시오.?
    시험자료 | 132페이지 | 3,000원 | 등록일 2020.09.11
  • 전자회로설계실습 5번 결과보고
    소비전력을 구하는 과정에서 예비보고서에서 작성했던 식들을 이용하였고, 임 역시 알 수 있었다. ... 전자회로설계실습(결과보고서 - 5)소 속담당 교수수업 시간편 성학 번성 명설계실습 5. ... 따라서 소비전력은 이다.구동신호()는 한 주기 T=1, duty가 50%인 square pulse이므로 이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2024.08.16
  • 아주대학교 기초전기실험 AC 5, 6, 7, 8 예비보고서
    11주차 예비보고서전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는 ... 있어서 Dual-Trace 방법과, Lissajous-Pattern 방식으로 두 파형간의 위상 차를 확인한다.교류 R-C 회로에서 커패시터의 전압, 전류의 위상차를 확인하고 위상차 발생의 ... 측정법)오실로스코프에 X축 입력, Y축 입력에 각각 주파수를 아는 신호, 주파수를 모르는 신호를 넣어준 후 오실로스코프에 출력되는 패턴과 패턴표를 이용하여 두 신호 간의 위상차,
    리포트 | 5페이지 | 1,000원 | 등록일 2020.09.20 | 수정일 2020.09.22
  • 25장 공통 이미터 증폭기위 주파수 응답 예비보고서
    ※이번 실험에도 2N3904를 이용하기 때문에 정상소자인지 꼭 확인해야한다.참고문헌[1] https://mathphysics.tistory.com/521예비보고서 전자회로실험2 실험일 ... wiring) 커패시턴스와 소자의 단자 간 커패시턴스가 상위 주파수를 결정한다.하위 차단(하위 3dB_0.7 point) 주파수 : 사용된 커패시터 마다 한 개씩의 차단 주파수를 발생시키는데 ... (= )()= 8p + 18p + (1+84.225)4p=)(), (∴ 상위 차단 주파수는 min(저주파 응답 측정그림 25-1회로에 주파수가 5KHz이고 진폭이 20mV인 AC 신호
    리포트 | 8페이지 | 1,500원 | 등록일 2022.05.01 | 수정일 2022.10.27
  • 가족자원관리학_4차 산업혁명으로 도래한 지능정보사회의 특징을 설명하고, 지능정보사회의 변화된 가정의 모습을 다룬 신문기사 3가지를 검색하여 소개하고, 이러한 변화가 가족자원관리에 시사하는 바를 제시하시오. (1)
    이러한 AI 돌봄서비스에 대해 전남대학교 연구팀에서는 실제 효과가 있다는 것을 입증하는 연구보고서를 발표했다.2) 가정자원관리에의 시사점최근 사회가 출산율은 낮아지는 반면 노령인구가 ... 이에 당시 타깃 매니저는 예비 엄마에게 보내야 할 쿠폰을 잘못 보낸 것 같다며 사과했는데 래의 행동을 예측하는 방식으로 마케팅 활동을 한 것이다.이처럼 빅데이터는 무슨 로션을 사는지와 ... 운전과 같은 인간이 직접 해 왔던 영역에 대해 도로 주변의 환경이나 수많은 신호체계 등 각종 시청각 정보를 받아들여 순간적으로 정보처리 후 대응 방법을 결정하는 고도의 정보처리 및
    방송통신대 | 7페이지 | 2,000원 | 등록일 2023.08.03
  • 아주대 전자회로실험 예비3 적분회로
    예비보고서전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: Hyperlink "http://www.ieee.org" http://www.ieee.org)나는 전자공학도로서 ... 실험기기- 전원 : ±15V- 오실로스코프- 신호발생기- 커패시터 : 0.0039 μF, 0.0022 μF- 저항 : 2.2㏀, 10㏀2개, 22㏀, 100㏀- 연산증폭기 : 741C4 ... 미분기와 적분기의 동작을 실험적으로 이해한다.2. 실험이론0)반전증폭기 회로에서의 입출력전압의 관계는Vout = - x Vin이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.11.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대