• 통큰쿠폰이벤트-통합
  • 통합검색(65,210)
  • 리포트(59,525)
  • 시험자료(2,892)
  • ppt테마(888)
  • 방송통신대(799)
  • 자기소개서(510)
  • 서식(307)
  • 논문(254)
  • 이력서(27)
  • 노하우(7)
  • 표지/속지(1)

"4B5T" 검색결과 501-520 / 65,210건

  • [중앙대 전자회로설계실습 10 예비보고서] Oscillator 설계
    잭-집게 연결선 (검정) : 4개Breadboard (빵판) : 1개점퍼 와이어 키트 : 1개Op-Amp : UA741CP : 2개저항 (1 kΩ, 1/2W) : 4개가변저항 (5 ... 또한, T1, T2, VTH, VTL의 값을 제출하라.SimulationSimulation7.6161-7.2467=0.3694ms7.9701-7.6161=0.354ms4.1536-4.1680 ... 또한, T1, T2, VTH, VTL의 값을 제출하라.SimulationSimulation28.153-27.057=1.096ms29.240-28.153=1.087ms5.9814V-5.9898V
    리포트 | 7페이지 | 1,000원 | 등록일 2021.08.09
  • 응용면역학 8장 연습문제 풀이
    2분자에 의해 제시되는 항원을 인식한다.TH2 cell에 의해 분비되는 IL-4 와IL-5는 주로 B세포의 분화와 중화항체 생산을 유도한다.C.cytotoxic T cell의 항원은 ... CCL21 과CCL19의 원천인 림프절의 피질로 들어간다.8-4정답 : b(dendritic cell은 이동성이며 antigen을 주변 2차림프조직으로 이동시킨다)8-5A.공동자극분자인 ... 칼슘의 자극에 의해 흥분되며 면역세포, 특히 림프구의 활성에 결정적이다.세포외자극으로 생산하는 이노시톨1,4,5-3인산(IP3)의 특이적수용체인데 주로 활면소포체에 존재한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.05.28
  • 교과교육론 ) 이야기 나누기, 새노래, 게임의 활동 유형별 수업 방법의 순서(총 3개 활동)에 대해 방송대 교과교육론 교재를 참고하여 기술하시오.
    T: 그래, 그럼 A랑 B가 팀의 대표가 되어서 게임을 시작해보자! 같은 팀 친구들을 잘 챙겨주기로 해요! ... T: 그럼 A팀은 파란색을 더 많이 보이게 해야하고 B팀은 노란색이 더 잘보이게 빠르게 뒤집어줘요.C: 알겠어요! ... 예를 들어 동식물에 대한 주제에서 만3세의 경우 주변 동식물을 찾아보고 관심 갖는 것을 소주제로 한다면 만 4세의 경우 관심 있는 동식물에 대한 탐구와 상상 속 동식물에 대한 관심
    방송통신대 | 9페이지 | 5,000원 | 등록일 2022.02.27
  • 기초전자실험 with PSpice 실험보고서 실험15 휘스톤 브리지
    표에 적힌 서미스터의 온도 데이터 시트에 따른 저항값으로 실험을 진행하라.(4)R _{T}=1[KΩ]을 연결하고,V _{0}를 측정하여 표에 기록하라.(5)R _{T}를 표에 주어진 ... 반복하라.(4-4) 휘스톤 브리지의 활용(1) 의 실험 회로를 구성하라.(2) 직류전원 V=5[V]를 인가하라. ... _{T}1[kΩ]470[Ω]680[Ω]1.2[kΩ]1.5[kΩ]R _{T}오차율-0.94%-0.99%0.19%-0.66%0.16%값을 분석해 볼 때V _{0}를 이용해 측정한 저항값은
    리포트 | 11페이지 | 1,500원 | 등록일 2022.11.08
  • Synthesis and Spectra of Vanadium Complexes 결과
    H2SO4의 역할은 V2O5를 녹이고 용액을 산성으로 만들어 VO2+의 산화상태를 유지시킨다.V2O5 (V) + 2H2SO4 + C2H5OH → 2VOSO4 (IV) + 3H2O + ... [VO(H2O)5]2+의 △ 이론값 = B2g → B1g = 630nm (λ) = 15873cm-1 (?) ... (cm-1)transitionΔE[VO(H2O)5]2+VO2+ = d1771.14412968B2g → Eg··B2g → B1g△··B2g → A1g[VO(tart)]2-VO2+ =
    리포트 | 18페이지 | 3,000원 | 등록일 2021.01.02
  • 소화기계 의학용어
    3혀tonguelingua[t?ŋ][liŋgw?]4편도tonsil[t?nsil]5잇몸gum[g?m]6치아teeth[tiːθ]7타액saliva[s?laiv?] ... 3혀[t?ŋ] [liŋgw?]4편도[t?nsil]5잇몸[g?m]6치아[tiːθ]7타액[s?laiv?]8설소대[frenj?l?m liŋgw?]9이하선[p?r? ... riːz]4구내염stomatitis[stoum?taitis]5포진성 구내염herpetic stomatitis[h?ːrpetik stoum?
    리포트 | 9페이지 | 2,000원 | 등록일 2024.02.02
  • 금오공과대학교 전기전자공학기초 ㅂㅅㅎ 교수님 4장 과제물 족보
    {4.7`k OMEGA +2.2k OMEGA } =1.5k OMEGA(b) 회로의 전체저항R _{T}는R _{T} = {R _{1} R _{2}} over {R _{1} +R _{ ... `VV _{3} =( {R _{3}} over {R _{T}} )V _{s} =( {1.0k OMEGA } over {8.8k OMEGA } )5.5V=625`mV(b) 회로에서 각 ... } over {R _{T}}} = sqrt {{0.875W} over {2400 OMEGA }} =19.1mA(b)V _{s}V _{S} =I _{T} R _{T} =(19.1mA)
    시험자료 | 10페이지 | 10,000원 | 등록일 2023.06.28
  • 전자회로설계 및 실습10_설계 실습10. Oscillator 설계_결과보고서
    0.439ms 24.31%T 0.580ms 0.391ms 32.59%VTH 5.95V 5.4V 9.24%VTL -5.95V -4.9V 17.65%Simulation과 구현한 oscillator ... (B) 4.2에서 구현한 oscillator의  ,  , 의 파형을 측정하고, PSPICE의 파형과 비교하여 모양, 크기, 오차 등에 대한 설명과 함께 제출한다.PSPICE로 ... Op-Amp와 커패시터, 저항에서 오차가 발생해서 T, T의 값에도 오차가 생겼다.
    리포트 | 10페이지 | 1,000원 | 등록일 2024.09.16
  • [기계공학실험A+보고서]온도실험B결과
    Calibration (실험 B)T=0.1248H-4.0037 위의 식은R ^{2} `=`0.8929로 상당한 신뢰성을 보인다. ... 4개의 데이터 평균T_LC = 37.66; % 마지막 열전대 마지막 4개의 데이터 평균t = 0.002; % 두께L = 0.2; % lengthw = 0.19; % widthh_C ... ^{5} ` mm)grid on;title('Analytical solution, Thermocouple, Image analysis for B');xlabel('x (mm)');
    리포트 | 23페이지 | 4,500원 | 등록일 2021.01.27
  • 공학물리학및실험(1) 6주차 결과레포트_각운동량 보존
    (m/s ^{2})0.04000.03990.03980.0399② m=hanger + m#4 + m#5 + m#61st2nd3rd평균a (m/s ^{2})0.05790.05740.05820.05781st2nd평균I ... /s ^{2})0.07590.07580.07600.07591st2nd평균I _{3} (kg BULLET m ^{2})5.525 TIMES 10 ^{-3}5.536 TIMES 10 ^ ... (kg BULLET m ^{2})5.397 TIMES 10 ^{-5}2)I _{1}① m=hanger + m#41st2nd3rd평균a (m/s ^{2})0.04360.04380.04370.0437②
    리포트 | 7페이지 | 1,500원 | 등록일 2022.02.26
  • 액체의 분자량 측정 [물리화학실험 A+ 레포트]
    (g/mol)m.p(℃)b.p(℃)d(g/cm ^{3} )Diethyl ether(C2H5)2O9974.123-116.334.60.7134[Table 1. ... 질량(1st Syringe+시료 (g)): 4.46g시료의 질량(g): (시료가 담긴 주사기의 질량) ? ... ether있는 주사기의 질량을 측정한다.시료가 담긴 주사기의 질량(1st Syringe+시료 (g)): 4.46g시료의 질량(g): (시료가 담긴 주사기의 질량) ?
    리포트 | 21페이지 | 3,000원 | 등록일 2023.03.30 | 수정일 2023.04.11
  • [재무관리]문제 1. 무위험자산이 존재하고 동질적 기대 가정이 성립하는 경우 합리적인 모든 투자자들은 항상 시장포트폴리오를 선택하게 된다. 이와 같은 경우 투자자가 고려해야하는 개별 증권의 위험은 무엇인가?
    4번_기업이 배당정책을 선호하는 투자자들을~3 "4번_12,995.84달러, 수락"4 3번_타인을 소송하는 것이 불가능~5 4번_화폐의 시간가치~6 1번_6.29%7 2번_0.938 ... 주어졌으므로 위 식에 대입하면 투자안의 요구수익률이20.0%라는 것을 알 수 있습니다.따라서 아래와 같이 순현가를 구할 수 있습니다.시점현재(T=0)1년 후(T=1)2년 후(T=2 ... (정답)증권시장선(SML)=무위험수익률+(시장포트폴리오 기대수익률-무위험수익률)*베타증권시장선(SML)=4%+(12%-4%)*베타증권시장선(SML)=4%+8%*베타2) 베타가 1.5인
    리포트 | 1페이지 | 5,000원 | 등록일 2024.06.10
  • 금오공대 일반화학실험2 시계반응 보고서
    [B]} over {TRIANGLE t}로 표현할 수 있다. ... A]} over {TRIANGLE t} =- {1} over {b} {TRIANGLE [B]} over {TRIANGLE t} = {1} over {c} {TRIANGLE [C]} ... 10mL0.2M`KCl을 넣는다. 50mL 비커 4번에0.1M`(NH _{4} ) _{2} S _{2} O _{8}을 20mL 넣는다. 50mL 비커 5번에0.2M`KI 20mL를
    리포트 | 4페이지 | 1,500원 | 등록일 2023.05.05
  • 2020 제어공학 기말고사 해답지
    (A)전달함수 구하는 과정 [2]{1} over {S ^{2} +3S+2}[3](B) [다이어그램만 맞아도 5점]Y,X 관계식 도출 [1]U,X 관계식 도출[1]4. ... [approach 1]pole zero cancellation이 되야함 [5]k = 3.7693 [5][approach 2]v matrix (k 포함) [6]k = 3.7693 [4 ... (a)LEFT | sI-A RIGHT | =s ^{3} +2s ^{2} +ks+1 [2]R-H method [1]k>0.5 [2](b)R-H method [1]epsilon 도입 [
    시험자료 | 4페이지 | 2,500원 | 등록일 2022.11.07
  • 명지대학교 산업경영공학과 작업설계 4주차 과제
    기계와 독립된 작업자의 작업시간(포장, 검사, 이동시간)t: 작업자와 독립된 기계의 작업시간(기계 가동시간)일 때, a : 1.41 b : 0.08 t : 4.34(분) 이다.최적 ... 기계대수 m < n < m+1 를 만족하며 n = (a+t)/(a+b) = 3.86이므로 3 < n < 4 이다.TC(3), TC(4)를 계산해서 작은 값을 취하면 된다.비용 = ... /5.96)/4 = 47.5
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.09.25
  • 화공기초이론및실험1_기체상수결정_예비
    아래의 표는 인자a, b의 값을 나타낸 것이다.Figure5.a,b인자 값Van der Waals equation의 압축인자를 구해주면,P= {nRT} over {V-nb} -a( ... _{r}} ``,`q= {(0.42748)T _{r}^{-0.5}} over {(0.08664)T _{r}} `,`T _{r} = {T} over {T _{c}} `,``P _{r} ... 이를 식으로 표현하면 아래와 같다.V PROPTO n{V} over {n} =k _{3} (상수)Figure4.아보가드로(Avogadro)법칙이 세 가지 법칙은P,V,T,n에 관련이
    리포트 | 9페이지 | 1,000원 | 등록일 2023.12.31
  • 7장 순차논리회로 설계 및 구현(1) 예비
    그리고 입력변수 X를 변수로 하는 최소하의 합으로 표현된다.A(t+1)`=D _{A} (A,B,X)`=` sum _{} ^{} m(2,4,5,6)#B(t+1)`=`D _{B} (A, ... 논리식A+= BX +AX = X(A+B)B+= A'X4. 회로 설계바. ... B,X)`=` sum _{} ^{} m(1,3,5,6)#Y(A,B,X)`=` sum _{} ^{} m(1,5)카노맵을 이용하여 논리식을 간략하게 만들면 다음과 같다.D _{A} `=
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.06
  • 2020 멀티미디어 영어 만점 과제.1~3강 Language&communication 해석&설명
    「그 곳에 대한 기억이 많지ption ofCharacter성격에 대한 설명Description ofLikes and Dislikes좋아하거나 싫어하는 것에대한 설명1, 4, 82, ... 5, 7, 10, 113, 6, 9Multiple ChoiceRead the question carefully and decide which description is the correct ... A She's very beautiful, with big brown eyes.그녀는 큰 갈색 눈을 가진 아주 아름다운 미인이에요.B It was very romantic, with
    방송통신대 | 22페이지 | 3,000원 | 등록일 2020.05.24 | 수정일 2022.05.04
  • 방송통신대 통계패키지 2021 1학기 기말과제
    (19 ℃)A3(200 ℃)A4(210 ℃)B1( 미국 M사 원료)10.89.113.59.2B2( 국내 P사 원료)11.111.28.211.3B3( 일본 N사 원료)5.44.67.45.0분산분석 ... kg)효소함량모종성장010.89.113.59.2100011.111.28.211.350005.44.67.45.0100005.85.33.27.5분산분석9 - 4)구분A1(180 ℃)A2 ... 값 =-5.300p 값 =0.0007결정계수r2 = 0.778추정오차s = 1.512변량변량명자료수평균표준편차독립변량 xstrength10291.30071.195종속변량 ylehgth109.5003.028결측수0모수추정값표준오차t
    방송통신대 | 8페이지 | 5,000원 | 등록일 2021.05.29
  • SWOT분석의 개념 및 SWOT분석 기업 사례연구
    queryText=znPublisher,%EC%A4%91%EC%95%99%EB%8C%80%ED%95%99%EA%B5%90+%EB%8C%80%ED%95%99%EC%9B%90&searchGubun ... queryText=znPublisher,%EB%8B%A8%EA%B5%AD%EB%8C%80%ED%95%99%EA%B5%90+%EA%B2%BD%EC%98%81%EB%8C%80%ED%95% ... 99%EC%9B%90&searchGubun=true&colName=bib_t&isDetailSearch=Y"단국대학교 경영대학원: 경영학과 마케팅전공 - 서울: 단국대학교, 2000김설희
    리포트 | 3페이지 | 2,500원 | 등록일 2024.07.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대