• 통큰쿠폰이벤트-통합
  • 통합검색(8,839)
  • 리포트(8,040)
  • 시험자료(321)
  • 자기소개서(242)
  • 방송통신대(121)
  • 논문(62)
  • 서식(28)
  • ppt테마(16)
  • 이력서(7)
  • 노하우(2)

"module9" 검색결과 501-520 / 8,839건

  • 미코
    으로 활용 가능"일본은 1kw급 SOFC 가격이 2천만원 수준당사는 건물용 연료전지 시장에 우선 진입할 계획당사의 2KW급 SOFC 시스템 TUCY 51.3%의 발전 효율 달성향후 모듈화를 ... , 200억 규모"자회사 코미코(지분율 39.9%)의 신규 코팅 매출 증가로 실적 개선올해 1분기 법인세비용 20억 ... 미코지배구조"시가총액 : 3,129억 ""주가 : 9,990원 (7월 7일 기준)"기업개요"증착, 식각 공정에서 핵심 부품으로 사용되고 있는 세라믹 소재 및 고체산화물 연료전지(SOFC
    리포트 | 2페이지 | 3,000원 | 등록일 2022.05.03
  • 삼성전자 합격 자기소개서
    프로그램을 모듈 형태로 분리했고 각각의 모듈을 디버깅 과정을 통해 검증했습니다. ... 저는 라즈베리 파이에 카메라 모듈과 GPS 모듈을 사용해 영상 촬영데이터와 GPS 정보를 송신하는 디바이스 제작을 맡았습니다. ... 클라우드를 이용하는 인공지능 기술과 달리 기기 내부에서 인공지능 기술을 처리할 수 있어 보안성이 더욱 크고 Delay가 발생하지 않는 장점이 있습니다.삼성전자는 지난해 11월 엑시노스 9에
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.03.12 | 수정일 2020.04.09
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 결과 레포트 Combinational Logic 1, 전자전기컴퓨터설계실험2,
    출력은 s, c이고 그 결과는 각각LED9, LED1에 나타난다. full adder는 두 입력이상이 1일 때 캐리가 발생하게 된다. ... 출력은 s, c이고 그 결과는 각각LED9, LED1에 나타난다. full adder는 두 입력이상이 1일 때 캐리가 발생하게 된다. ... 실험결과 두 입력모두 1을 넣었을 때 LED2에서 전원이 들어옴을 확인할 수 있었다.(2) One bit 전가산기1) 1비트 반가산기의 module instantiationmodule
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [에리카A+] 마이크로프로세서응용 Lab4 Characteristic LCD
    DELAY(100 으로 정의하였음) 만큼 기다린다.8. stChar 의 값을 증가시킨 후 문자열의 길이보다 클 경우 나머지 연산을 통해 그 값을 조절해준다.9. ... unsigned char *)0x8000)#define LCD_WRITE (*(volatile unsigned char *)0x8002)// LCD 모듈의 첫번째 라인, 두번째 라인 ... Source Code#define DELAY 100#include #include // LCD 모듈로 접근하기 위한 외부 메모리 주소 선언#define LCD_EN (*(volatile
    리포트 | 10페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 학생 탐구발표대회 인지속도 실험 보고서 대상 수상작 예시 (생명, 정보과학 분야)
    소스 코드 ] 7[그림 9 알고리즘 코드 전문 ] 9[그림 10 일반인의 인지시간 측정 ] 11[그림 11 노인의 인지시간 측정 ] 11[그림 12 일반 지팡이(시각)의 인지 시간 ... 결론 및 논의17참고문헌18표 목 차10131516그 림 목 차[그림 1 초음파 센서의 원리] 2[그림 2 IOT지팡이 설계 (하드웨어) ] 4[그림 3 초음파센서와 진동모터모듈의 ... Serial monitor 거리측정 테스트 ] 5[그림 5 IOT지팡이 하드웨어 전체 회로도 ] 5[그림 6 제작된 IOT지팡이 ] 5[그림 7 초음파 센서 코드 ] 6[그림 8 진동모터 모듈
    리포트 | 26페이지 | 20,000원 | 등록일 2023.10.24 | 수정일 2024.03.26
  • 간호관리학실습 지침서 케이스 보고서 근무표 간호관리 업무
    인적자원관리단계의 간호관리 업무 9p1. 간호전달체계의 종류 9p2. 환자분류도구에 의한 입원환자 분류 및 인력산정 12p3. 근무계획표 작성지침 16p4. 근무계획표 17pⅣ. ... 하지만 현재 3월에 입사한 신규 간호사 4명이 각 팀의 담당이 되어 자율적으로 간호를 수행하기에 숙련도가 미숙하기에 책임과 의무의 한계가 불분명한 모듈방법의 단점을 보완하기 위해 숙련이 ... Nursing과 Functional method, Primary Nursing을 각 단위의 특성에 맞추어 적절하게 적용하고 운영한다고 명시되어 있다. 51A병동의 간호전달체계는 모듈방법을
    리포트 | 36페이지 | 3,500원 | 등록일 2024.09.09 | 수정일 2024.09.11
  • 학점A+받는 영남이공대학 전자계열 마이크로컴퓨터 [Timer 1 module2]
    +9H) 사용합니다. ... Timer 1 module.과목:마이크로컴퓨터담당교수님:--- 교수님전공학과:전자계열 ICT전공학번:------성명:---제출날짜:2014년 10월 12일※Contents.1. ... 16비트로 구성된 타이머 또는 카운터로 이루어진 모듈입니다. up카운터.- 16bit 타이머 또는 카운터인 register로 구성되어 있습니다.TMR1H와 TMR1L - (각각 8bit씩
    리포트 | 14페이지 | 3,000원 | 등록일 2020.11.01
  • 학점A+받는 영남이공대학 전자계열 마이크로컴퓨터 [Timer 1 module]
    +9H) 사용합니다. ... Timer 1 module.과목:마이크로컴퓨터담당교수님:--- 교수님전공학과:전자계열 ICT전공학번:------성명:---제출날짜:2014년 10월 8일※Contents.1. ... 16비트로 구성된 타이머 또는 카운터로 이루어진 모듈입니다. up카운터.- 16bit 타이머 또는 카운터인 register로 구성되어 있습니다.TMR1H와 TMR1L - (각각 8bit씩
    리포트 | 14페이지 | 3,000원 | 등록일 2020.11.01
  • SW마에스트로(소프트웨어마에스트로) 자기소개서
    대학교에서 진행하는 FINE 프로젝트(공학인재를 기술창업가로 양성하기 위한 프로젝트)에도 참여해 통신 및 모듈제어, 모듈과 아두이노 연결, Github활용법, 설계도 실습 등을 통해 ... 그리고 7~8월 동안 IOT제품을 직접 만들어보고 해킹을 적용하여 보안 솔루션이 어떻게 이루어져야 하는지 설계를 할겁니다. 9~11월 동안 IOT 보안제품을 만들어 볼겁니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.11.09 | 수정일 2021.11.10
  • 서울시립대 전자전기설계2(전전설2) 3주차 사전보고서
    소프트웨어 프로그래밍 언어의 라이브러리 관리가 부족하여 프로그래머가 컴파일하는 동안 호출되는 별도의 파일에 필요한 모듈을 넣는 것을 허용하지 않음.VHDL : Pascal과 Ada를 ... Verilog에서 다음의 constant의 의미와 실제로 비트로 표현했을 때 어떻게 나타나는지 조사하시오.- 4’b1001비트 크기 : 4밑수 : Binary값 : 1001(Binary) = 9( ... 사용법에 대하여 조사하시오.for문 : 반복 횟수를 제어하는 변수에 의해 문장이 반복 실행if문 : 주어진 조건이 참이라면 문장이 실행예) for문을 이용한 8비트 우선순위 인코더module
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.13
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서결과보고서7
    두 그래프의 형태는 닮았지만, 두 신호의 진폭의 값에서 차이가 많이 발생한 결과가 나왔다.9. ... 차별 안하기: 인종, 종교, 성별, 장애, 연령, 출신국 등의 요인에 관계없이 모든 사람을 공평하게 대한다.9. ... 즉, 그래프의 기울기가 증가하는지 감소하는 지에 대한 차이를 확인할 수 없게 된다.Gain을 MAX로 조절9.
    리포트 | 16페이지 | 1,500원 | 등록일 2021.10.24
  • 프랭크로이드라이트-유소니아의 꿈
    식당 9. 테라스 10. 정원 11. ... 주차 면적 : 124.5 ㎡ (37.7 평 ) 형태 : L 자형 기능 : 도로로부터 프라이버시 보호 , 정원 확보 식당을 기준으로 공간의 기능 분리 2X4 피트 모듈의 단순 그리드
    리포트 | 9페이지 | 2,500원 | 등록일 2021.04.23
  • 인장실험(취성) 결과보고서
    영구변형의 변형률 값으로 0.01 ~ 0.03%사이의 값을 채택하는 경우가 많다.③ 종탄성계수(Longitudinal elastic modules, Young's modules) : ... 합니다.6) 시험 탭 -> 인장시험을 클릭합니다.7) 시험번호 옆의 New 버튼을 누르고 확인 버튼을 누릅니다8) 로트번호와 시료번호 옆 New 버튼을 누르고 확인 버튼을 누릅니다.9) ... EB%8F%84" https://ko.wikipedia.org/wiki/%EC%9D%91%EB%A0%A5-%EB%B3%80%ED%98%95%EB%8F%84_%EC%84%A0%EB%8F
    리포트 | 4페이지 | 2,000원 | 등록일 2020.10.13
  • 자율주행자동차
    자율주행자동차 미래전망9. 결론 및 향후시사점1. ... 보급 수송, 폭발물 처리 모듈, UAV 발사기, 의무후송, 정찰 및 통신 중계 등 다양한 임무를 수행할 수있도록 개발되었다. ... 탑재 가능한 70mm 미사일 발사기 모듈을 선보였으며, 각각8개의 미사일을 발사할 수 있는 두 개의 발사기를 탑재하여 교전을 목적으로도 활용이 가능하다.Hunter Wolf는 보병을
    리포트 | 8페이지 | 4,200원 | 등록일 2022.09.22
  • 4차 산업혁명 시대에 경쟁력 제고를 위해 거시적 혹은 중시적 차원(국가, 지역, 또는 산업)에서 이루어지고
    혁신정책 “ 기술굴기 ” 의 시사점 참고문헌배경 : 미국 - 중국 패권경쟁 냉전 체제 붕괴 후 오랫동안 미국의 헤게모니 (Hegemony) 가 지속됨 일극 체제의 국제질서가 붕괴 : 9.11 ... 삼아 다모듈 우주정거장을 2022 년부터 운영하는 “ 톈궁 프로젝트 ” 진행 중기술굴기의 시사점 기술을 “ 일으켜 세우기 ( 崛起 ) ” 위한 중국의 노력은 “ 메이드 인 차이나 ... 반도체와 메모리반도체의 역량을 동시에 강화하고자 함기술굴기 : 중국의 과학기술 혁신정책 현재 전세계에서 가장 빠른 슈퍼컴퓨터는 중국산 컴퓨터 ; 선웨이 타이후 라이트의 경우 초당 9
    리포트 | 23페이지 | 2,000원 | 등록일 2022.06.30
  • 경북대학교 기초전기전자실험 PLC제어 실험보고서 [기계공학부]
    태양광 모듈과 태양의 일사 각도가 적정해야 최대 효율로 발전이 가능하다. ... %8D%ED%8A%B9%컴퓨터를 사용하기 때문에 프로그래밍과 제어반의 제작을 나누어 동시 진행으로 작업할 수 있어 시간을 단축할 수 있다.(7) 대규모 제어회로에서 경제적이다.PLC의 ... 이 문제를 해결하기 위해 태양광 모듈은 일주 운동과 같이 태양을 따라 움직이는 트레킹 모션 제어를 PLC에서 수행하게 된다.(3) 빌딩 자동 제어 분야PLC는 건물의 각종 설비의 제어는
    리포트 | 9페이지 | 2,000원 | 등록일 2023.06.17 | 수정일 2023.12.14
  • 행정고시(5급공채) 전산직 OS(운영체제) 합격자 서브노트
    커널 메모리의 할당Chapter 9. ... 프로세스 기반 운영체제 (Process-based OS)o 운영체제를 시스템 프로세스들의 집합으로 구성- 장점1: 각 모듈 간에 최소의 명료한 인터페이스를 가진 모듈화된 운영체제를 ... 응답 시간이 매우 빠른 장치에 적합공유 메모리프로세스 간 메모리 공유메모리 사상 파일메모리 페이지를 디스크 파일 블록에 사상메모리 사상 입/출력메모리 페이지를 장치 레지스터에 사상9.8
    시험자료 | 76페이지 | 20,000원 | 등록일 2023.03.26 | 수정일 2023.06.07
  • 아스퍼거증후군 case study
    -바꿔 말하면 검사 Module 1,2,3 에 따라서 ADOS 점수 차이가 발생하는데, CSS로 비교하게 되면 Module 간 큰 차이가 나지 않음. ... 장난감이 더 많이 사용됨.- 모듈 4: 언어표현이 자유로운 청소년과 성인을 대상으로 함. 면담용 질문이 더 강조됨.? 총 45분에서 1시간 정도의 시간이 소요됨.? ... (Hepatitis A virus, HAV)근육주사이상반응 중 가장 흔한 것은 접종 부위의 통증, 발적, 부종, 전신 권태감, 피로, 미열 등이 있습니다.가다실가다실(4가)- 만 9∼
    리포트 | 27페이지 | 4,000원 | 등록일 2022.07.25 | 수정일 2023.08.23
  • 전자공학응용실험 - 차동증폭기 심화실험 결과레포트
    9차 결과레포트학번 :이름 :분반 :1. 실험 제목 : 실험 21. 차동 증폭기 심화 실험2. ... 또한 channel length modulation을 고려하지 않았던 것, 이론상 1/gm이 너무 작아서 공통 이득을 구할 때 고려하지 않았던 것 때문에 차이가 발생한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.12.20
  • [산업통상자원부] 신기술 인증 신청서
    , 통신모듈, 센서모듈, 스토리지모듈, 메모리 모듈, 입출력 장치 모듈, 서버 및 시스템, 기타6S/W시스템 S/W, IT응용 S/WOS, 스토리지, 클라우드컴퓨팅, 클라우드가상화플랫폼 ... , 콘텐츠상호작용(UI/UX), 가상/증강현실, 오감융합콘텐츠, 이러닝, 콘텐츠창작/유통, 콘텐츠저작권보호, 스토리텔링콘텐츠, 대용량콘텐츠검색, 디지털시네마, 디지털사이니지, 기타9수송기계자동차 ... 스마트그리드, 계통연계, 신재생에너지, 전력선통신모뎀, SMPS(초소형 전기기기의 전원장치), FACTS, 전력품질보상, 주파수제어, 기타5H/W시스템 H/W프로세서/DSP, 영상모듈
    서식 | 3페이지 | 무료 | 등록일 2023.03.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대