• 통큰쿠폰이벤트-통합
  • 통합검색(5,016)
  • 리포트(4,114)
  • 논문(468)
  • 시험자료(206)
  • 자기소개서(108)
  • 방송통신대(78)
  • ppt테마(20)
  • 서식(15)
  • 이력서(7)

"detection" 검색결과 521-540 / 5,016건

  • [A+] Final Exam (Economic Anthropology, 경제인류학)
    If illegal activities are detected and the exporter is notified, preventive measures will be prepared ... If illegal human rights violations, such as the use of child labor in the mining process, are detected
    리포트 | 5페이지 | 8,000원 | 등록일 2023.03.12 | 수정일 2024.04.08
  • 컴퓨터 하드웨어 설계 및 실험
    voltage operation: 3V-5.5VWith HC-SR04 software and hardware size is fully compatibleDetecting distance:Detection
    리포트 | 7페이지 | 4,000원 | 등록일 2023.01.06
  • ELISA(효소면역분석법)결과보고서 (원리와 활용, 효소면역분석법,Direct Elisa,ELISA,indirect ELISA,competitive ELISA)
    크게 ‘coating/capture- blocking- detection- read result’의 과정으로 진행되며, 시료 내에 항원이 존재하게 된다면 항체-효소가 항원에 결합하게
    리포트 | 10페이지 | 2,500원 | 등록일 2021.10.03 | 수정일 2021.10.05
  • 제대로 번역한 Reading Explorer2 (3rd edition) UNIT3B. Who Killed the Iceman?
    History Detectives3B: Who Killed the Iceman? 누가 얼음 인간을 죽였는가?
    리포트 | 2,000원 | 등록일 2020.06.26
  • Western Blot (protocol 요약)
    실험목표(Purpose)- 단백질을 크기별로 분리하는 기술로서 항원-항체 반응을 이용하여 전체 단백질 중 특정 단백질만을 detection할 수 있음. ... Secondary antibody를 5% skim milk에 희석함.사) 회전식진탕기를 이용하여 1시간 동안 상온에서 rocking 함.아) 10분씩 3회 Washing 함.7) Detection
    리포트 | 3페이지 | 1,000원 | 등록일 2020.10.14
  • 성인실습 뇌하수체 종양 case study
    at local2000년경 HTN detect at local갑상선 기능 저하증 detect at local입원 전 복용 약물11/28~ 로스탈, 리팔몬입원기간2022년 12월 3일 ... 등이 나타날 수 있다.3) 수두증 증상종양이 뇌하수체의 위쪽으로 성장함에 따라 l병원 시행한 검사상 sellar mass 소견이 보여 내원함.과거력(진단받은 질환)2018년경 DL detect
    리포트 | 28페이지 | 2,500원 | 등록일 2024.03.04
  • 조직 DNA 추출 실험 보고서 (DNA prep for tissue)
    사용되는 광선의 수치- 280nm : protein을 detecting하는데 사용되는 광선의 수치- 260/280 : DNA의 순수도 (1.75~2.0까지가 가장 좋은거고 그보다 ... ng단위로 표시- Dilution vol : 희석한 비율- Total concentration : (1ul의 DNA양) x (총 volume인 20ul)- 260nm : DNA를 detecting하는데
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.04 | 수정일 2022.07.18
  • western blot 웨스턴 블롯 레포트
    Detection of Cytoskeletal Protein in Rat실험일자조 원실험목적? ... 위 사진에서와 같이 Protein assay를 하기 전 채취한 sample(Detection of Cytoskeletal protein in Rat)을 잘게 으깨는 과정이다.? ... Gsk3B antibody를 이용하여 Rat의 각 기관들(Stomach, Lung, Liver)에서 채취한 조직들의 Gsk3B를 Detection 할 수 있다.도 입● homogenization
    리포트 | 16페이지 | 1,500원 | 등록일 2020.11.03
  • 조선대학교 A+ / 메카트로닉스 응용사례 과제 레포트
    LDWS·Lane Departure Warning System) 및 차선이탈복귀기능(LKAS·Lane Keeping Assist System)후측방경고시스템(BSD·Blind Spot Detection
    리포트 | 3페이지 | 3,000원 | 등록일 2023.07.13
  • 시계열 온라인 학습
    .- DDM(Drift Detection Method) : 기존의 최소 오차율을 표준 편차의 3배 만큼 초과하는 경우 경고를 발생시킨다.-> 지속적으로 훈련된 모델에서 오차는 표본 ... 드리프트 감지 알고리즘1) 적응형 윈도우 설정(ADWIN)- 임계값을 기반으로 한 적응형 슬라이딩 윈도우 알고리즘- 윈도우 기반2) 드리프트 감지(DDM, Drift Detection ... Method)- 시간이 지남에 따라 모델의 오차율이 감소해야 한다는 전제를 기본으로 함- 통계학3) 조기 드리프트 감지 방법(EDDM, Early Drift Detection Method
    리포트 | 7페이지 | 3,000원 | 등록일 2022.05.14
  • 인터넷 보안장비의 종류와 역할 그리고 인터넷 보안에 대한 본인의 의견을 기술하시오
    .- IDS (Intrusion Detection System)침입 탐지 시스템은 네트워크 내부 또는 시스템에서 이상한 활동이나 침입 시도를 감지하는 기능을 가진 보안장치입니다. ... SQL 인젝션, 크로스 사이트 스크립팅(XSS), 크로스 사이트 요청 위조(CSRF) 등 웹 애플리케이션 공격을 방어하는데 효과적입니다.- EDR (Endpoint Detection
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • 헬스커뮤니케이션 health policy implementation critique 건강정책비평, HPV 백신
    This is because HPV has a high possibility of treatment and survival if detected early, and vaccination ... Even if HPV is infected with the virus, the survival rate increases as quickly as it is detected, so
    리포트 | 6페이지 | 2,000원 | 등록일 2022.09.10
  • 나노측정 및 표면 분광학 최종 정리 족보
    CMA는 X-ray를 통해 전자를 detecting 할 뿐만 아니라 E-gun에 의한 전자도 detecting 할 수 있다.E-gun에 의해 방사되는 전자들 중에서 스펙트럼에 포함되는 ... SpectroscopySIMS는 Secondary Ion Mass Spectroscopy고에너지 primary ion을 샘플에 가하여 방출되는 secondary atomic ion을 detecting ... AnalysisXPS는 X-ray photoelectron Spectroscopy위 두 시스템은 X-ray photon을 샘플에 가하여 튀어나오는 전자들의 kinetic energy를 detecting
    시험자료 | 10페이지 | 2,000원 | 등록일 2019.10.16 | 수정일 2019.10.22
  • 유전학실험 Protein Regulation
    또한 PVDF에 비해 상대적으로 재질이 약해서 보관이 어려운 단점이 존재하지만, 별도의 activation이 필요하지 않으며, 감도가 뛰어나고, Fluorescent detection이 ... darkroom development techniques for chemiluminescence, or normal image scanning methods for colorimetric detection.ResultDiscussion결과분석-IAA와
    리포트 | 4페이지 | 2,500원 | 등록일 2024.07.19
  • protein assay 단백질 정량 레포트
    위 사진에서와 같이 Protein assay를 하기 전 채취한 sample(Detection of Cytoskeletal protein in Rat)을 잘게 으깨는 과정이다.? ... 가위, 해부핀셋, 티슈, 해부접시, spectrophotometer, BSA(Bovine Serum Albumin), microplate, bradford solution실험방법Detection
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.03
  • 영상시스템설계 기말 리포트(딥러닝 RCNN 설계 보고서)
    IMAGE DETECTION - TRANSFER LEARNING1 개요 Introduction 2 이론 Transfer Learning 3 모델 Faster RCNN – inceptionv2 ... /fanwen/ 试卷下载: WWW.HOMEPPT.COM/shiti/ 教案下载: WWW.HOMEPPT.COM/jiaoan/ PPT 论坛: www.homeppt.cn4 4 IMAGE DETECTION
    리포트 | 26페이지 | 2,000원 | 등록일 2020.03.12
  • SK쉴더스 Platform개발본부 Machine Learning 개발 직무 신입 최종 합격 자기소개서 자소서
    [PE]AI 연구실에서 학부연구생 과제로는 Computer Vision 분야에 대해 학습했습니다.Object Detection, Instance Segmentation, 특징점 추출등
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.14
  • (합격자소서)LS산전 생산기술
    특히, 6시그마 프로젝트 기법을 활용하여 detect, measure, analyzer, control단계를 통해 사람에 의해 수작업으로 진행했던 PLC Filter검사를 OCR Tool을
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.12.31
  • Exp. 6 western blot
    이때 사용하는 membrane은 detection하려는 단백질의 특성에 따라 다른 membrane을 사용하는데, 본 실험에서는 PVDF membrane을 사용하였다. ... 이렇게 나온 결과를 detection 과정을 통해 확인하였더니 DcHSP70을 가지고 있는 line 3에서 SDS-PAGE 결과 가장 큰 band가 나타났고, western blot에서도 ... Membrane을 PBS-T buffer로 짧은 시간(1분) 두 번 정도 씻어 준 후, RT에서 15분, 5분씩 3번 흔들면서 씻어준다.3) Detection1. 2-8℃에 보관 중인
    리포트 | 7페이지 | 2,500원 | 등록일 2021.03.31
  • 화공계측실험 Pre-Report (9)-Atomic Force Microscope
    기존의 현미경은 빛을 이용해 시료를 시각적으로 관찰 하는 방식이지만 afm은 표면을 느끼면서 시료를 detect한다. ... 나노미터 단위의 물질들을 날카로운 탐침을 통해 detect할 수 있다. 첫 afm은 Bining, Quate, Gerber가 1986년에 발명 하였다. ... Tapping mode는 진폭을 일정하게 유지시킨다.Cantilever deflection detection methodscontact모드에서 cantilever는 deflection을
    리포트 | 7페이지 | 2,000원 | 등록일 2020.06.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대