• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,288)
  • 리포트(2,105)
  • 시험자료(102)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 541-560 / 2,288건

  • Xilinx-ISE 응용 레포트 (7-segment)
    *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를 ... 공통 단자는 그라운드에 연결되고, 5볼트가 입력되면 LED가 켜진다.7-세그먼트 원리에 대해 설명하는데 BCD-to-7 세그먼트를 기준으로 하겠다. ... BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... , g 신호를 만들어내는 조합회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 스위치 및 led 점멸 실험
    점퍼선6. 7세그먼트 (세그먼트 방식의 숫자 표시 소자로서 최대 7개의 세그먼트로 숫자를 표시하는 방식. 7개 모두 통전하면 8의 숫자가 된다.)7. ... 3) 검토 :실험을 통하여 7세그먼트의 동작원리에 대하여 알 수 있었다. ... 또한 수명도 백열등보다 더 길다.2. 7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 가로 획과 두 개의 세로 획이 배치되어 있고
    리포트 | 5페이지 | 1,000원 | 등록일 2017.07.15
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    회로도 및 ARTWORK(1) 회로도(2) Artwork7. ... 설계과정BCD TO 7-SEGMENT DECODER의 설계 과정은 다음과 같다.(1) BCD TO 7-Segment Decoder의 회로를 구성한다.(2) 구성된 회로를 PADS LOGIC에 ... 결과설계 결과 HD74LS47P 소자는 FND507, 즉 7-SEGMENT를 위해 제작된 칩이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • 현대 사회와 정보보호 7장 과제 <2018년 1학기 기준 A+>
    여러 허니팟 시스템이 서브 넷에서 세그먼트에 함께 연결되어 있으면 허니넷이라고 할 수 있다. ... 현대사회와 정보보호 과제 (Chap.7)1. What common security system in an IDPS most like? ... 그리고 특정 네트워크 세그먼트로 들어오고 나가는 트래픽을 모니터링 할 수 있다.A host-based IDPS : 특정 컴퓨터 또는 호스트에 상주하며, 해당 시스템에서만 활동을 모니터링
    리포트 | 2페이지 | 1,000원 | 등록일 2019.03.15
  • 전기전자기초실험 조합 회로 설계 실험 결과레포트
    세그먼트 디코더의 최대 경로 지연을 찾아서 이것을 클록에 의해서 동작 시켰을 때의 가능한 최대 동작 주파수를 계산하시오.7-세그먼트의 경로 지연 표를 보면, 최대 경로 지연이 26.3ns이다 ... 세그먼트의 진리표 ... 이것의 진리표를 구하시오.→ →6의 모양 변화 9의 모양 변화입력7-세그먼트 출력ABCDabcdefg000001111110100010110000200101101101300111111001401000110011501011011011601101011111701111110000810001111111910011111011A10101110111B
    리포트 | 4페이지 | 1,000원 | 등록일 2017.12.01
  • 광전소자 결과
    적색과 녹색 발광 다이오드들에 대한 자료를 얻는다.2. 7-세그먼트 지시기로 숫자를 표시한다.3. ... 세그먼트 표시기의 사용표시값접지시켜야 할 핀들01, 2, 3, 7, 8, 10, 1313, 10, 1321, 3, 7, 8, 11, 1331, 3, 8, 10, 11, 1342, 3 ... 이 실험은 7-세그먼트 표시기에만 문제가 없다면 누구나 성공할 수 있었던 실험이었다.세 번째는 광결합기를 이용한 실험이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.10.11 | 수정일 2017.10.27
  • 다이오드 구조 및 종류
    LED숫자를 표시하기 위해 발광 다이오드 소자를 여러개 실장 한 것으로7개의 소자로 숫자를 표시할 수 있게 되어있기 때문에 7세그먼트 표시기라고도 불리고 있습니다.실제의 표시기는 ... 실제의 세그먼트에는 소수점이 추가되어8 세그먼트로 되어 있으며 문자 표시를위한 표시기도 판매되고 있습니다.참고 LED의 종류_4포토다이오드광에너지를 전기 에너지로 변환하는 광센서의 ... 적외선 파장의 빛을 발생하도록 만든 발광 다이오드입니다.최근 텔레비전등의 리모콘에서 신호 송신용으로 많이 이용되고 있으며 다양한 크기와 형태가 있습니다.참고 LED의 종류_37-세그먼트
    리포트 | 17페이지 | 13,000원 | 등록일 2019.06.13 | 수정일 2022.03.24
  • 마이크로컴퓨터(PWM을 이용한 DC모터 속도제어) 프로젝트 과제/레포트
    - 두 자리 7-segment LED :7-세그먼트 LED 한 개를 켜기 위해서는 8개의 디지털 I/O 핀이 ATmega128과 연결되어야 한다. ... 1(a)는 10의 자리에 7-세그먼트 LED로 전류가 흐를 수 있는 경로를 스위치로 만들고, 10자리 숫자를 LED에출력하기 위한 데이터를 공급하면 10의 자리 값이 디스플레이 된다 ... [그림8-4(b)]는 1의 자리에 7-세그먼트 LED에전류가 흐를 수 있도록 스위치로 경로를 만들고 1의 숫자를 LED에 출own)을 받아 입력이 들어오면 모터속도를 증가시키거나 감소시켜
    리포트 | 13페이지 | 2,000원 | 등록일 2018.08.19
  • verilog 3주차 ENC DEC보고서
    sement : 7세그먼트 디스플레이는 7개의 선분으로 구성되어 있어 각 획을 켜고 끔으로써 아라비아 숫자를 표시한다.소수점이 그려져 있는 경우도 있다.표시장치로는 LED, LCD, ... 기계적 표시(주유소 생각)등 여러 방법이 있다.따라서 우리는 입력을 4bit 로 받고,출력을 7bit로 뽑아내야 한다.진리표는 다음과 같다. ... 따라서 인풋이 2개, 아웃풋이 3개 존재한다. 2진화된 두 수의 크기를 비교하는데 많이 쓰인다.③ BCD to 7-Segment Decoder-BCD: BCD표기(Binary-coded
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 운영체제 4장
    , 미스율(miss ratio)= 7/12 = 58.3 %, 히트율(hit ratio) = 41.7%참조열012310451234페이지프레임0*************11111111122222222LRU ... 세그먼테이션과 페이징을 혼용 하는 시스템을 설계하고 이에 대한 알고리즘을 수집하시 오.하나의 세그먼트를 정수 배의 페이지로 다시 분할하는 세그먼트/페이징 혼용 기법이 있다. ... + ( 1.2μ sec + 1.2μ sec ) × 0.65 = 0.42μ sec + (2.4μ sec) × 0.65 = 0.42μ sec + 1.56μ sec = 1.98μ sec7.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.11.11
  • 세븐세그먼트 디코더
    LED 디스플레이그림 7-세그먼트 LED 디스플레이그림 3은 흔히 쓰이는 7-세그먼트 디스플레이를 보인 것으로 각 세그먼트는 전류가 흐를 때 빛을 발하는 LED로 되어있다. ... 문자 A~F를 발생시키기 위해 7-세그먼트를 사용한다. ... 실험목적7-세그먼트 구동 디코더의 구조 및 동작 개념을 이해하고 실험을 통해 동작을 확인한다.1.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.06.18
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (결과) FND 제어
    원리는 PORT0~PORT7 이렇게 총 8개의 핀에 각각의 세그먼트를 연결한 후, 원하는 부분에 전기신호를 보내 빛을 내어 모양을 만드는 방식이다. ... 이번 실험은 7-Segment라고도 불리는 FND 제어에 대해 알아보는 것이다. ... FND는 총 7개의 LED 영역을 이용하여 10진수 숫자, 혹은 영문자 등을 표현할 수 있는 디스플레이 장치이며 전광판이나 진행 상태, 시간을 숫자로 표시할 때 유용하게 활용된다.FND의
    리포트 | 6페이지 | 1,000원 | 등록일 2018.07.05
  • 디지털실험 - 설계 1 예비 보고서
    D1만이 1이고 나머지 출력선 D0, D2, D3은 모두 0이 되며, 나머지 입력 값의 조합에 대해서도 한 출력선이 나머지 출력 선들과 다른 값을 가짐을 확인할 수 있다.2) BCD-7세그먼트7세그먼트는 ... LED표시장치에서 각각의 7세그먼트는 전류가 흐를 때 어둠 속에서 볼 수 있는 유색 광의 발광 다이오드를 사용한다. ... 이를 구동하기 위해 8-4-2-1 BCD code Converter를 이용하며, 변환기 회로의 4개 입력 (A, B, C, D)는 8-4-2-1 BCD Number를 나타낸다.7세그먼트
    리포트 | 7페이지 | 1,500원 | 등록일 2017.04.02
  • J-K 플립플롭을 이용한 동기식 카운터
    J-K플립플롭 3개를 이용하여 출력된 BCD를 디코더를 통해 10진수로 바꿔 7-세그먼트에 0~6까지 반복해서 나타내는 카운터를 설계한다. ... 동기식 카운터 상태변화 각 숫자에 대해 on 되어야할 7세그먼트 요소숫자BCD 코드on 되어야 할 요소D C B A01234567890 0 0 00 0 0 10 0 1 00 0 1 ... Pspice를 이용하여 설계된 회로의 시뮬레이션을 실행한 결과 0~6까지 세그먼트에 나타나는 것을 확인할 수 있었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.18
  • atmega128 segment 스톱워치 초시계
    아트메가128 세그머트 초시계입니다. 40초까지 나오며 실제 시간과 일치합니다.왼쪽 두자리수는 초, 오른쪽 두자리수는 프레임입니다.
    리포트 | 1,000원 | 등록일 2015.04.15
  • 10진 카운터
    이번 실험은 10진 카운터 회로를 구성하여 스위치를 눌렀을 때 FND507 7세그먼트 소자에 0부터9까지의 숫자가 차례로 출력 되도록 하는 것이었다. ... 구동할 수 있게 출력을 냈으며 SN7447의 출력이 "0" 일 때 그에 대응하는 세그먼트가 동작했다. ... 주어진 회로는 NE555로 이루어진 비안정 M/V 회로의 펄스 발생에 의해, SN7490의 BCD 10진 카운터에서 10진 카운터 된 출력을 SN7447의 2진 세그먼트 디코더 드라이버
    리포트 | 1페이지 | 1,000원 | 등록일 2012.01.23
  • 8비트 가산기 디지털회로실험 예비보고서
    세그먼트 디코더 datasheet지난 실험에서 7-세그먼트 디코더 회로를 쿼터스2 프로그램을 이용해 논리도를 그려 구성했다. ... 시뮬레이션 실험에서는 a~g까지의 출력이 서로다른 7개의 led역할을 한다고 가정하였다. 이때 출력되는 모양에 따라 7-세그먼트에서 나오는 숫자의 모양이 결정된다. ... 이번에는 verilog HDL 코드를 이용해 8비트 7-세그먼트의 동작을 시뮬레이션으로 확인해보는 실험을 해보았다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • LG화학 합격자소서(구매 직무)
    그 결과 푸쉬 스위치를 터치센서로, CLCD 모듈을 7세그먼트로 대체할 수 있었고, 6만 원 이내의 비용을 들여 완성품을 만들 수 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2019.06.13
  • 디지털논리회로 엘레베이터 제어기 설계
    세그먼트 디스플레이(7) 74138 Decoder3. ... 실험 목표:7층 건물의 엘리베이터를 위한 제어기를 구현한다. 2. ... 74148 priority encoder(2) 7474 D플립플롭 2개(3) 7485 비교기(4) 7493A 2진 카운터/74139(5) 7447A BCD/10진 디코더(6) MAN72 7-
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • 국내의 광고의 성공 또는 실패사례에 대하여 선정이유, 광고내용(광고이미지 삽입요망), 성공 또는 실패요인, 사례에 대한 자신의 의견을 작성하시오.
    v=3Yiez7_qVCg그간 3박하면 1박 무료 카피를 강하게 밀어 붙이던 야놀자의 광고 컨셉이 ‘휴식’, ‘1인 여행’, ‘부담 없는 쉼’으로 방향성을 바꾸었다. ... 광고 컨셉의 변화를 살펴보기 위한 STP 비교1) 기존 야놀자S 세그먼트T 타겟팅P 차별화- 인구통계적 부분* 20대부터 50대* 커플* 지방출장 영업직* 모텔을 이용한 적 있거나, ... 심리적 구분* 숙박에 대해 호의적인 남녀* 인테리어 및 공간 분위기를 중요시 하는 커플* 편안한 쉼이 가능한 공간 희망- 행동적 구분* 월 1-8회 사용자2) 최근의 야놀자 STPS 세그먼트T
    리포트 | 4페이지 | 3,000원 | 등록일 2019.02.17
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대