• 통큰쿠폰이벤트-통합
  • 통합검색(567)
  • 리포트(424)
  • 자기소개서(107)
  • 시험자료(29)
  • 방송통신대(4)
  • 논문(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 설계 및 실" 검색결과 41-60 / 567건

  • (유비쿼터스컴퓨팅개론) 우리의 미래생활에서는 다양한 4차산업 관련 기술이 보편적으로 사용될 것으로 예상되고 있습니다. 유비쿼터스 컴퓨팅 수업시간에 배웠던 유비쿼터스 기술들뿐만 아니라 4차산업 기술(핀테크, 블록체인, 가상화폐, 자율주행 자동차 등)을 활용하여 제공될 수 있는 미래생활 서비스를 기술하십시오. 그리고 그 유비쿼터스 기술과 4차산업 기술(핀테크,
    마이크로 집게, 모터, 기어 등 기판에서 분리한 미세구조물을 제작하였으며, 1990년대에 이르러서 센서 논리회로, 액추에이터가 집적화된 형태로 발전되었다. ... 정밀 가공 기술인 반도체 공정 기술을 기반으로 시스템뿐만 아니라 2차원 3차원의 기계 구조를 구현하고 동시에 리콘 미세가공기술과 집적회로 신호처리회로 등을 집적하여 감지요소인 ... 가능하므로 조립의 필요성이 줄어들어 안정성을 향상시킬 수 있다.MEMS는 주변 환경을 인식하여 데이터를 수집하고 처리하며 이를 토대로 적절한 동작을 취할 수 있도록 뇌와 신경에 해당하는 논리회로
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.05.14 | 수정일 2022.05.16
  • 9주차-험19 결과 - 카운터 회로
    동작원리를 이해한다.(2) 동기 계수기의 구조와 동작을 이해한다.(3) 임의의 mod 동기 계수기를 설계하는 방법을 익힌다.(4) 증계수, 감계수 증/감계수의 논리를 이해한다.험 ... 카운터 회로담당교수 : 교수님학 부 : 전자공학부학 번 :이 름 : 험 조 :제 출 일 : 2015. 11. 04험제목 : 카운터 회로험목적 : (1) 비동기식 카운터의 구조와 ... 2015년도 제2학기기초회로험Ⅱ기초회로험Ⅱ험19.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • 직무분석보고서_삼성전자
    ,개발직무소개회로설계-디지털/아날로그/RF 설계 기술을 통해 반도체 IC/Package, 화질/음질/안테나/배터리/센서 등 모듈, 보드 세트를 설계하고 최적화 합니다.소자개발-메모리 ... 열유체/포장/방수방진 설계, 구동 제어, 금형, 사출에 관련된 업무를 합니다.필요역량1.전문지식 - 연구 분야에 대한 전문지식 / 관련 분야 지식2.분석력 - 냉철함 / 논리성 ... 신규채용채용특징삼성은 지난해 미래전략 해체 뒤 그룹 공채를 폐지했지만 GSAT는 유지하고 있다.
    리포트 | 2페이지 | 1,500원 | 등록일 2024.04.10
  • 기초전자설계험 예비보고서 JK Flip-Flop과 클락생성
    수동진동자는 고정된 클락을 생성하며 출력단에 분배회로를 구성하여 낮은 주파수를 얻을 수 있다.회로 시뮬레이션 결과4.1)JK Flip-Flop설계문제 1 : NAND와 NOR게이트 ... -험전 예비보고서를 준비할 때 NOR와 NAND게이트를 조합한 동기식 JK Flip-Flop 논리회로를 구성한다. ... 저항과 커패시터는 에 있는 값을 사용하여야 하며 오차가 적도록 소자 값을 선택한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 서울시립대학교 전전설2 전자전기컴퓨터설계험2 (결과레포트와 예비레포트 동시에) 1주차 Lab01 TTL gates Lab on Breadboard
    전자전기컴퓨터공학부 설계 험2Post Lab-01TTL gates Lab on Breadboard 험 날 짜학 번이 름목차1. ... 험목적TTL을 이용하여 OR 게이트, XOR게이트, 반가산기, 전가산기 논리회로 설계를 진행한다.2. ... 동작을) 교안의 회로를 참고하여 breadboard에 회로를 구성한다.(2) TTL(7432 OR Gate IC)에 전원을 연결한 후 스위치를 사용하여 회로의 동작(LED)을
    리포트 | 23페이지 | 3,000원 | 등록일 2020.07.27
  • 광주과학기술원(GIST) 지스트 인공지능대학원 자기소개서 연구계획서
    , 나노소자공학, 컴퓨터비전, 디지털제어, 전력전자시스템해석, 제어네트워크, 로봇공학, 기초회로, 전자회로, 전자회로험, 반도체공학, 회로이론1, 전자재료, 집적회로, 배전자동화설계 ... 예측에 관한 연구 등을 하고 싶습니다.저는 또한 논리적 추론이 있는 추천 시스템 연구, STDP 학습을 통한 신경망 스파이킹을 위한 에너지 영역 효율적인 CMOS 시냅스와 뉴런 ... 인공지능대학원에 관심을 가지고 지원하게 된 이유는 국내에서 서울대학교에 맞먹을 정도로 인공지능 분야에 투자를 하고 좋은 교수진, 연구적, 연구시설 장비 등을 갖춘 곳은 광주과학기술원밖에
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.06.30
  • [서울시립대] 전자전기컴퓨터설계험2 / Lab03(결과) / 2021년도(대면) / A+
    험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로설계하는 여러 가지 방법론을 학습한다. ... 이용하는 방법, Gate Primitive를 사용하는 방법, Behavioral modeling을 이용한 방법(if, for 문 등을 사용)과 같은 여러 가지 방법론을 통해 디지털 논리회로를 ... 험 장비 재료가. 험 장비HBE Combo-II SE3.
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 시립대 전전설2 A+ 2주차 예비레포트
    동작을 기술(표현)할 수 있는 언어- 하드웨어 소자, 구조, 동작 등을 텍스트 기반으로 표현 가능- 입출력 논리 간의 관계 동작을 기술- 시뮬레이션 검증대표적인 HDL- VHDL ... 가능낮은 설계 비용- 상위레벨 설계도구의 사용에 따른 설계 생산성 향상- 설계기간의 단축 설계자산 재사용에 따른 비용의 감소표준 HDL 사용자의 확대- IEEE 표준인 동시에 ... 자유도대량 생산 시 단가 최저고 집적도와 고 성능화 달성 – 고속, 저전력 IC 개발 가능독자적 설계에 의한 회로의 KNOW-HOW, 장치의 KNOW-HOW에 대한 기밀 유지단점-
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 서울대학교 일반대학원 전기정보공학부 연구계획서
    학부, 대학원 이수 전공 과목 중 관심과목저는 OO대학교 전기전자공학부에 재학할 때 전자회로응용, 디지털신호처리, 전자회로험, 컴퓨터구조, 마이크로프로세서응용험, 논리회로, 통신이론 ... 석사 박사 진학시 희망 연구분야 계획저는 서울대학교 전기정보공학부 연구에 입학하고 나서 ABA* ? ... , 데이터통신, 객체지향프로그래밍, 디지털설계습, 전동기제어, 로봇공학개론, 디지털영상처리, 안테나공학 등의 수업을 이수했습니다.
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.05
  • 부경대 전자공학과 면접 기출 4개년(2016년 ~ 2020년도)
    논리회로- K맵을 이용한 대수 간략화 → NAND, NOR 로 구현 (NAND = SOP, NOR = POS 편리)- MUX, 인코더, 디코더 설계- 논리회로를 크게 두 가지로 ... 논리회로 게이트를 사용하여 진리표 X + XY = X 임을 증명하여라.4. ... 부경대학교 전자공학과 편입대비(기출문제 면접, 후기)2016 ~ 2020년도 5개년 기출문제 자료2016년도 면접 기출문제1. 지원동기, 학업계획, 졸업 후 진로가 무엇인가?
    시험자료 | 8페이지 | 9,000원 | 등록일 2019.12.03 | 수정일 2020.12.30
  • 2022학년도 한국해양대 전자전기공학부 편입 자소서
    ‘전기자기학’ 과목은 3학년 과목인 ‘전력시스템 선박 전기기기’ 과목과 연계되며, ‘기초전기험’ 등 험 과목은 중점 과목인 ‘선박전기설비설계’와 연계됩니다. ... 자기주도적인 원리 학습으로 전자전기공학의 깊이를 더했습니다.전자회로 디지털회로 험 등 험과목을 수강하며, 전기소자의 해석 방법과 소자를 이용한 회로설계를 익혔습니다. ... 회로에 대한 통찰력과 설계 역량을 기반으로, 신호 시스템, 제어공학의 이론적 지식을 학습한다면 높은 완성도의 결과물을 도출할 것으로 기대됩니다.4.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.06 | 수정일 2023.11.13
  • [요청자료][세특][수기][생기부] 물리험 세특 기재 요령입니다. 물리험은 그 범위가 광범위하고 험에 대한 내용이라 세특 작성하기가 무척이나 까다롭고 어렵습니다. 따라서 본 자료를 통해 세특 작성 고민을 해결하시길 바랍니다.
    개인 수행평가에서도 설계, 험 장치 설치 탐구 수행, 그래프 그리기, 그래프 해석 능력, 결과 분석 등을 험보고서를 논리정연하게 잘 작성하였음.기재 요령 4추의 낙하운동을 ... 물리험 세특 기재 요령기재 요령 1마찰력 측정, 단진자 운동, 중력가속도 측정 외에 여러 종류의 험에 적극 참여한 학생으로 팀 내에서 험 결과 측정 결과 해석 역할을 성히 ... 결론 도출에도 자기력을 측정하는 원리가 토크의 평형 개념인 지렛대의 원리라는 것을 쉽게 이해하였고, 의 중력이 자기력임을 학습한 후 자기력이 자기장 속에 전류가 받는 힘이 같음을
    리포트 | 8페이지 | 5,000원 | 등록일 2023.08.22
  • 한화비전(한화테크윈) 회로설계 합격 자소서
    이때 chip의 스펙에 따라 시간 영상처리의 완성도가 좌우되는 것을 보았습니다.회로설계에서 가장 중요한 건 물을 제작했을 때 요구스펙을 맞추는 것과 오류가 절대 발생해서는 안된다는 ... 이후 전공을 수강하며 논리구조와 회로를 동시에 다루는 HW개발 직무가 제일 잘 맞는다는 것을 느꼈습니다.회로이론, 기초전자회로, 전자회로 등 수강한 모든 회로 통신 과목에서 A+학점을 ... 위의 경험을 바탕으로 비전 분야의 역량을 발전시켜 HW 회로 설계의 전문가로 성장하고 한화비전의 성장에 기여하겠습니다.본인의 성격 장/단점본인의 성격 장/단점을 구체적인 사례와
    자기소개서 | 4페이지 | 3,900원 | 등록일 2023.06.01
  • 8주차-험19 예비 - 카운터 회로
    동작원리를 이해한다.(2) 동기 계수기의 구조와 동작을 이해한다.(3) 임의의 mod 동기 계수기를 설계하는 방법을 익힌다.(4) 증계수, 감계수 증/감계수의 논리를 이해한다.험준비물 ... 카운터 회로담당교수 : 교수님학 부 : 전자공학부학 번 :이 름 : 험 조 :제 출 일 : 2015. 10. 28험제목 : 카운터 회로험목적 : (1) 비동기식 카운터의 구조와 ... (10) 은 회로를 클럭에 동기시켜 확장시킨 회로이다. 입력 X 클럭의 신호구성은 험 2와 동일하다.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.16
  • 기계공학응용험 A+, 9장 PLC 응용
    래더 다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.(3) PLC의 작동원리PLC는 입출력장치 프로그램으로 기계나 프로세서 작업을 제어하는 ... REPORT제목 : 9장 PLC응용험수강분반 : 험 조 :학 번 :이 름 :험일자 :제출일자 :1. ... 입력과 출력 사이의 관계와 동작을 정해주는 로직이나 가동순서는 PC를 이용하여 컴퓨터프로그래밍(C언어)으로 수행할 수도 있으며, ATmega128과 같은 마이크로컴퓨터를 이용하여 설계
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.03
  • 연세대학교 일반대학원 시스템반도체공학과 학업계획서
    저는 OO대학교 반도체공학과에 재학을 하면서 논리회로험1,2, 컴퓨터프로그래밍기초, 반도체개론, 회로이론1,2, 반도체소자공학1,2, 논리회로1,2, 센서응용공학, 전자회로1,2, ... 반도체공정1,2, CMOS소자신뢰성측정, 박막공학, IoT센서, 반도체측정, FullCustom레이아웃, TCAD소자공정설계, SoC설계, VLSI시스템 등의 수업을 이수하고 졸업하였습니다 ... 수학 연구계획저는 연세대학교 대학원 시스템반도체공학과 연구에 진학을 하고 나서 22.9pJ/frame.pixel 92dB 동적 범위를 갖춘 완전 디지털 시간 모드 CMOS
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.01.27
  • 하드웨어엔지니어 자기소개서
    이 과정에서 논리회로, 디지털회로, 아날로그회로 등 다양한 전자기기 회로 설계에 대한 기초 지식을 습득하였습니다. ... 이때 주로 IoT 기기와 모듈 개발을 수행하였으며, 회로 설계, PCB 디자인 검증, 제품 출하 등을 담당하였습니다. ... 이를 통해 하드웨어의 기본 동작 원리와 회로 설계 능력을 키울 수 있었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.04.09 | 수정일 2023.04.23
  • 험 제목: R, L, C 수동소자와 TTL IC
    TTL IC칩은 내부 회로구성 설계 방식에 따라 Bipolar와 CMOS방식으로 제작되며 집적도, 전력소모 처리속도 등을 7400시리즈 중간에 영문을 넣어 표기한다.회로구성Bread ... 우리 의 입력전압은 AC 110V/220V 60Hz이며 출력 DC전압은 0~30V 또는 0~60V까지 연속 가변이 되는 2개의 출력과 TTL IC회로의 전원으로 사용할 수 있는 ... 디지털멀티미터를 통해 측정된 전압과 전류 값이 이론적으로 계산한 값과 동일함을 확인한다.DC아날로그 회로: 임의로 설계회로에서 전원공급기와 서로 다른 값의 4개의 저항을 이용하여
    리포트 | 5페이지 | 1,500원 | 등록일 2020.01.23
  • 연세대학교 일반대학원 컴퓨터과학과 학업계획서
    저는 OO대학교 컴퓨터학과에서 총 OOO학점을 이수했으며 컴퓨터프로그래밍1,2, 자료구조, 알고리즘, 운영체제, 컴퓨터네트워크, 계산이론, 논리설계, 공학수학1,2, 데이터통신, 회로이론 ... , 전자기학, 컴파일러, 소프트웨어공학, 컴퓨터그래픽스, 통신회로, 신호시스템 등의 전공과목을 수강하였습니다. ... 그렇기 때문에 저는 연세대학교 OOOO 연구에서 수학할 충분한 능력, 자격이 있다고 생각합니다.2.
    자기소개서 | 1페이지 | 3,800원 | 등록일 2021.10.06
  • 조선대 마이크로프로세서_컴퓨터종류특징역사,칩 제조과정과 벤치마크
    주로 전산에 설치되며, 각 단말기를 통해 입력되는 자료를 처리한다. ... 전자컴퓨터의 태동제 2차 세계대전 중 급격하게 발전하였으며, 전자회로가 기계식 연산장치를 대체하고 디지털 회로가 아날로그 회로를 대체하는 변화를 가져왔다. ... 종이 테이프로 입력을 받아 다양한 종류의 논리 연산을 할 수 있었지만, 튜링 기계는 아니었다 1대의 콜로서스 1호기와, 9대의 콜로서스 2호기가 제작되었으나, 1970년대까지 모두
    시험자료 | 5페이지 | 1,500원 | 등록일 2021.12.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대