• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,320)
  • 리포트(2,213)
  • 자기소개서(89)
  • 시험자료(10)
  • 논문(4)
  • 방송통신대(2)
  • 이력서(1)
  • ppt테마(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"디지털논리회로실험" 검색결과 41-60 / 2,320건

  • 서강대학교 디지털논리회로실험 5주차 - 비교 및 연산 회로
    실험 목적Exclusive-OR회로를 이용한 비교/연산회로의 구현 및 동작원리를 이해하고, 기본 논리 gates를 이용한 half-adder 및 fill-adder의 구현 및 동작원리를 ... 이번 실험에서 사용하는 ’COMPM4’가 이에 해당한다. ... 그리고 연산회로의 동작 및 signed/unsigned numbers의 연산 원리에 대해 이해한다.2.
    리포트 | 23페이지 | 1,500원 | 등록일 2024.08.17
  • 디지털 논리회로 실험 및 설계 4주차 예비보고서
    디지털 논리실험 및 설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 4개의 입력값 중에 1이 단 1개만 있어야하는 반면에 멀티플렉서는 ... 경우엔 에, , 일 경우엔 에, , 일 경우엔 에 값 0이 나올 것이다.이 1, I가 1일 경우엔 EN이 0이므로 회로가 작동하지않아 모든 결과값이 1이 나올 것이다.2.3 응용실험 ... I= 로 했을 때, 74139는 EN이 1이 입력되어야 회로가 정상작동하기에 , I가 0일 경우에 S에 따른 번호의 출력값에서 입력값 이 출력 될 것이다., 일 경우엔 에, , 일
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 서강대학교 디지털논리회로실험 레포트 3주차
    디지털 논리회로 실험 결과 보고서3주차 Decoders and Encoders5조1.실험제목: Decoders and Encoders2.실험목적:-일반적인 binary decoder의 ... 우리 조가 첫 번째 실험을 할 때 결과가 다르게 나와 다시 실험하였는데, 저항이나 인덕터 캐패시터와는 다르게 디지털에서는 회로를 조금만 잘못 짜더라도 완전히 다른 결과값이 나와 버리기 ... 따라서 정확한 실험을 하였다고 볼 수 있다.5. 검토사항1)combinational 논리 회로의 최소화(minimization)가 실제 회로 구현에 어떤 영향을 주는지 검토한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 레포트 5주차
    이론3-1) 비교회로(comparators)디지털 컴퓨터 시스템 및 장치의 설계과정에서 두 개의 이진수의 비교를 통해 프로그램 및 논리의 흐름을 결정하는 것은 매우 일반화된 동작이다 ... 5주차 결과레포트비교 및 연산 회로1. 실험 제목: 비교 및 연산 회로2. ... 실험 목표:(1) Exclusive-OR 회로를 이용한 비교회로의 구현 및 동작원리 이해(2) 기본 gates를 이용한 half-adder 및 full-adder의 구현 및 동작원리
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 레포트 10주차
    디지털논리회로실험 10주차 결과레포트DAC/ADC와 One shot1. 실험 제목: DAC/ADC와 One shot2. ... 실험 목적:1) Digital-to-analog 변환(DAC)-digital 신호를 analog 신호로 변환하는 회로의 동작 원리를 이해한다. ... -DAC IC의 구동 방법을 배운다.2) Analog-to-digital 변환(DAC)-analog 신호를 digital 신호로 변환하는 회로의 동작 원리를 이해한다.
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 레포트 8주차
    실험 제목: shift registers2. 실험 목적:1) shift register-shift register의 구조와 동작원리를 이해한다. ... 검토 사항1) D-FF을 사용해서 그림 16의 회로처럼 동작하도록 ISE를 이용해서 회로를 구현해보고 simulation을 통해 확인해 보자-STEP 4에 언급하였 ... 그림 11은 기본적인 회로를 보여주고 그림 12는 그 timing diagram을 보여준다.
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 디지털 실험 5장(논리회로 간소화) 결과보고서
    실험 5장 논리회로 간소화1. ... 회로" 디지털 회로이다. ... 저항-트랜지스터 논리는 최초로 트랜지스터화하여 사용된 디지털 회로로, 다른 종류에는 Hyperlink "https://ko.wikipedia.org/wiki/%EB%8B%A4%EC%
    리포트 | 7페이지 | 3,000원 | 등록일 2019.12.17
  • 디지털 실험 2장(다이오드 논리회로) 결과 보고서
    -다이오드 논리회로의 문제점을 고찰한다.2. 실험순서실험에 쓰인 저항(R)은 330Ω 이다. ... 게다가 디지털 논리회로의 가장 큰 문제점은, 게이트가 여러 개 직렬로 연결되어질 때, 다이오드의 전압강하가 더 강해져서 부하전압에서 측정되는 전압이 현저하게 낮을 수도 있게 된다는 ... 실험 2장 스위칭 회로 결과보고서1. 실험목적-반도체 다이오드의 스위치 특성을 공부한다.-다이오드를 이용하여 AND, OR 논리를 실현한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    2018년 1학기 실험(1): 디지털 논리회로12018년Final Project1목차1. Door-Lock Project 설계 지시사항32. 설계 준비413. 설계 아이디어64. ... 전체 회로도96. 전체 설계 평가131. 실험(1) 프로젝트 : Door-Lock 설계지시사항(1) 총 3개의 7-Segment LED가 사용된다. ... 전체 회로도완성된 Door-Lock 회로6.
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 서강대학교 디지털논리회로실험 8주차 - Shift Registers
    그리고 4-digit 7-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.2. ... 실험 목적Shift register의 구조와 동작원리를 이해하고, Multiplier 설계를 통해 shift register의 활용방법을 익힌다. ... 실험 이론● Shift registersShift registers는 개별적인 flip-flop들의 연결을 통해 구성되고 clock 신호의 입력에 따라 여러 FF로 구성된 register의
    리포트 | 24페이지 | 1,500원 | 등록일 2024.08.17
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Shift Register소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 실험 이론레지스터는 많은 디지털 시스템에서 매우 중요한 논리 블록이다. 레지스터들은 2진 정보를 저장하고 디지털 연산을 실행하는데 사용된다. ... [그림 2]존슨 카운터는 맨 마지막 플립플롭의 출력 중 NOT 출력을 첫 번째 플립플롭의 입력과 연결한 회로이다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 부호기는 10진수를 2진수 또는 BCD코드로 변환시켜주는 조합논리회로이다. ... 응용 실험(1) [그림 2]의 부호기 회로에 두 개 이상의 입력이 1인 경우 중 한 가지를 골라 어떤 일이 일어나는지 실험해보시오.이번 실험은 부호기 회로의 특성을 이용하여 여러 개의
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 디코더의 논리회로도와 진리표그림 3-2는 2-to-4 디코더의 또 다른 형태로 디코더이다. ... 실험 이론(1)Decoder디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로를 말한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 9주차 Shift Register 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Shift Register소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 연결한 회로이다. ... 특히, (2)의 실험에서 초기화 하는 과정을자세히 서술하시오.이번 실험에서는 Shift Register의 개념을 이해하고 그에 관한 회로를 구성하여 이론을 학습하고 응용하여 또다른
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 울산대학교 디지털실험결과22 디지털 논리회로의 전압특성과 지연시간
    디지털 실험 22장. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :디지털 실험 22장. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :1. ... 검토 및 토의이번 실험의 목적은 2진수를 전압으로 처리하는 디지털 논리회로의 동작전압, 지연시간 등을 측정하여 회로의 특성을 파악하는 실험이다. ... 이번 실험을 통해서 논리 1과 논리 0을 사용하여 아날로그 신호가 아닌 디지털 논리 회로를 구성함으로 부의 논리를 구하는 실험을 조금이나마 이해할 수 있었으며, noise margin은
    리포트 | 2페이지 | 2,000원 | 등록일 2021.03.20
  • 디지털 논리 회로 설계 실험 최종프로젝트 레포트 A+ 타이밍게임(회로도있음)
    디지털 논리 회로 설계-타이밍게임_20180619000 교수님000 조교님금요일 2,3교시B000000 000-목차-(1) 설계 아이디어(2) 설계 방법(3) 전체 회로도(4) 평가1 ... 7402를 이용해 SR래치를 만들었다.LED 1에 불이 들어왔을 때 (출력값 1) UP으로 입력되고 LED 7 에 불이 들어왔을 때 (출력값 0) DOWN으로 입력된다.SR래치 회로
    리포트 | 11페이지 | 2,000원 | 등록일 2021.07.09
  • 울산대학교 디지털실험예비22 디지털 논리회로의 전압특성과 지연시간
    실험 이론모든 디지털 기기들은 디지털 논리회로에서 배운 부울대수의 AND, OR, NOT의 3개로 연산하는 장치이다. ... 실험 목적2진수를 전압으로 처리하는 디지털 논리회로의 동작전압, 지연시간 등을 측정하여 회로의 특성을 파학한다. (동작 주파수를 높게 변화시키면서 실험할 것)2. ... 이미 실험한 아날로그 회로에서 신호들의 연속 값은 연속이지만, 디지털 논리회로는 0(False)과 1(True)의 2개의 논리 값만 사용한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2021.03.20
  • 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 결과 보고서
    디지털논리회로실험서강대학교 전자공학과2017년 2학기 결과레포트실험2. Digital Logic Gates실험2. Digital Logic Gates1. 실험목적1. ... 실험 1-DInverter를 만든 회로로 출력은 입력의 반대 논리값이 나올 것으로 예상된다.?실험 1-Fsimulated load가 영향을 미치지 않을 것이다.? ... NOT 게이트, 즉 Inverter를 만든 회로로 출력은 입력의 반대 논리값이 나왔다.?
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 홍익대_디지털논리회로실험_2주차 예비보고서_A+
    디지털 논리실험 및 설계 2주차 예비보고서실험 준비1.1 NAND 7400 게이트, NOR 7402 게이트, XOR 7486 게이트의 datasheet를 확인하시오.7402 NOR ... 이때의 진리표는 XOR 게이트의 진리표와 같다.2.5 응용실험 (2)실험 준비 1.3에서 구현한 회로를 보자. ... 의 회로를 구현하시오.응용 실험(1)응용 실험(2)응용 실험(3)1.4 응용 실험 (3)의 결과를 예상하고 이유를 서술하시오.= (A+B)(A+C) [∵드모르간 법칙]= AA + AC
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_8주차 예비보고서_A+
    디지털 논리실험 및 설계 8주차 예비보고서실험 준비1.1 Gated D Latch의 동작에 대해 설명하시오.Gated S-R Latch와 매우 유사하다. ... 결국 CLK에 연결된 스위치가 올라갈 때마다 Q의 값이 토글된다.결론적으로 응용실험 (1)과 응용실험 (2)의 회로는 Q의 값을 토글시킨다는 공통점이 있지만 응용실험 (1)의 회로는 ... K에 Q를 입력해주면 J-K Flip-flop이 D Flip-flop와 같은 기능을 하므로 응용실험 (2)의 회로와 같은 기능을 하는 회로를 만들 수 있다.실험 결과2.1 기본실험
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대