• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,846)
  • 리포트(6,935)
  • 자기소개서(619)
  • 시험자료(174)
  • 방송통신대(85)
  • 논문(23)
  • 이력서(5)
  • ppt테마(4)
  • 서식(1)

"디지털전자회로" 검색결과 41-60 / 7,846건

  • 학습지도안-디지털전자회로
    전자회로관련교재 및 참고자료대단원제 1장 반도체 이론디지털 전자회로소 단 원- 다이오드의 종류 및 특성학습목표여러 가지 다이오드의 특징을 안다.과 제(2) PN 접합 다이오드● 확산 ... 관계없이 항상 금지대 중앙에 위치② 불순물 반도체는 온도가 상승하면 금지대 중앙으로 이동정보통신설비과정 이론 학습지도안훈 련 과 정정보통신설비훈련기간3개월/6개월훈 련 과 목 명디지털 ... (Ga, In) 첨가 ① 부족전자 ② 다수반송자 : 정공 소수반송자 : 전자③ 3가의 불순물 → 억셉터(acceptor) , 억셉터 원자는 이온을 뜀◎ 페르미준위 (그림 1) 진성반도체와
    시험자료 | 57페이지 | 4,000원 | 등록일 2010.01.07
  • 전자공학과 디지털 회로 실험 및 설계 텀프로젝트 간이전압계 ppt자료입니다.
    디지털회로 실험 및 설계 Term Project프로젝트 주제 제작 동기 사용부품 및 공구 동작원리 실험결과 결론 2프로젝트 주제 작품명 : 간이 전압계 3제작 동기 4 디지털회로 실험 ... 반전동작원리 9 출력 인코더에서 들어온 값을 74LS47 을 이용하여 FND 에 띄어준다 .동작원리 10 전원부 LM7805 라는 레귤레이터를 사용하여 9V 를 5V 로 바꾸어 디지털
    리포트 | 15페이지 | 2,000원 | 등록일 2016.04.06
  • 디지털전자실험 - 연산회로
    디지털회로 실험 결과 보고서실험 9-1 반가산기(Half-Adder)A + BAB입력회로(a)반가산기BAS(Sum : 합)C(Carry : 자리올림)*************101반가산기 ... 실험 방법 및 주의사항① 위의 회로를 보고 브레드 보드에 연결한다.② 직류 전력 공급기에 A, B 연결하여 회로 구성을 완료한다.( XOR 게이트 - 7486 와 AND 게이트 - ... 회로에도 각각의 전원을 넣어준다.( 7핀 - GND, 14핀 - VCC )⑥ 회로 연결시 각 핀 번호를 헷갈리지 않도록 유의한다.실험 결과 및 이론과 비교전가산기는 반가산기와 달리
    리포트 | 5페이지 | 1,500원 | 등록일 2009.01.30
  • 서강대 고급전자회로 실험 - 실험 8. Digital Filter Design - 결과 보고서
    고급전자회로 실험 결과 보고서실험 8. ... Digital filter design분반학번이름조학번이름시작종료실험시작/종료시간 기재(통계 목적임)실험 11.1 다음의 filter를 제작하시오.
    리포트 | 15페이지 | 2,000원 | 등록일 2015.06.18 | 수정일 2015.06.22
  • <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... 본 디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다. ... (1) Timer/counter를 이용한 ‘1초’ 카운트디지털 시계는 1 Sec 단위로 카운팅되면서 시간이 표시된다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • [컴퓨터시스템/IT/전자] 디지털 논리회로
    디지털 논리회로 개요디지털 시스템에 관한 논리회로에는 작동 원리에 따라 조합회로와 순서회로로 나눌 수 있으며, 컴퓨터 내부에는 많은 종류의 조합 논리회로와 순서 논리회로가 복잡하게 ... 디지털 논리회로는 기억장치의 유무로 그 분류를 달리한다.기억장치가 없는 조합논리회로와 플립플롭이라는 기억장치가 있는 순서논리회로로 나뉜다. ... 논리회로순서 논리 회로는 조합 논리 회로와 플립플롭을 가지고 구성한 회로이며, 출력 값은 입력 값과 회로의 내부 상태에 의해 정해지는 논리 회로이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2009.05.19
  • [ORCAD] PCB설계 디지털전자시계회로
    ORCAD 이용하요 디지털전자시계회로 그리기 캡쳐및 레이아웃까지 작성부품75개이상사용.풋프린트 라이브러리 생성하여 사용
    리포트 | 1,000원 | 등록일 2008.12.28
  • 디지털전자실험 - counter 회로
    디지털회로 실험 결과 보고서목적Flip-Flop 을 이용한 동기식(synchronous)카운터와 비동기식(asynchronous)카운터[=리플(ripple)카운터] 회로를 구성하여 ... 클럭 펄스의 영향은 카운터를 물결치듯이 통과 하며(ripple), 마지막 플립플롭에 미치기 까지는 전파지연 때문에 약간의 시간이 소요된다.이러한 비동기 카운터의 누적 시간지연은 디지털 ... 그 출력된 것은 위 회로와 같이 CLR 로 들어가 게 되어 RESET 되 게 된다.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.01.30
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 11장 7segment와 Decoder 회로 설계
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 11. 7-segment / Decoder 회로 설계조7조제출일2016-11-24학번, 이름11-1. ... 실습목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.11-2. ... 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • <<[AVR] 전자 주사위 만들기>>전자 주사위 만들기,디지털 주사위,ATmega128소스코드, 회로도,난수발생,랜덤,seed,LED주사위 제작,졸업작품,동작원리,해석,AVR
    ['[AVR] 전자 주사위 만들기 + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... (중략) 본 전자 주사위의 키 입력방식은 별다른 외부 스위치 회로없이 MCU 내부의 Pull-up 저항을 이용한 입력 방식을 사용했다. ... 목 표 전자 주사위는 정육면체에 1~6개의 점이 박힌 주사위를 전자적으로 구성한 장치이다. 7개의 LED를 배치하여 1~6의 숫자를 표현하고 스위치를 사용하여 작동한다.
    리포트 | 16페이지 | 4,000원 | 등록일 2016.11.15 | 수정일 2017.04.20
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 10장 4-bit Adder 회로 설계
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 10. 4-bit Adder 회로 설계조7조제출일2016-11-24학번, 이름10-1. ... 실습목적조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.10-2. ... (D) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계한다.(E) 설계한 회로 중 하나를 선택하여 2Bit 가산기 회로를 설계한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 전자회로실험) mosfet digital logic gate 예비레포트
    ◎ 실험목적디지털 로직 게이트를 기초로 하여 MOSFET의 동작을 이해한다.◎ 실험이론디지털 논리회로는 BJT 와 MOSFET 의 두 가지 종류의 트랜지스터를 사용하여 구현할 수 있다 ... 그 중에서도 이번 장에서는 MOSFET을 이용하여 논리회로를 구현하는 것을 다뤄보고 Passive Load와 Active Load를 이용한 n-MOSFET 회로와 n-channel ... 각각의 회로에서 M1 트랜지스터의 Gate 와 Drain 을 연결하여 Load 저항을 대체하였다.
    리포트 | 9페이지 | 1,000원 | 등록일 2008.11.26
  • 전자회로실험) mosfet digital logic gate 결과레포트
    역시나 회로를 구성함에 있어서는 그리 오랜 시간이 걸리지 않았으나, 디지털 멀티미터를 이용한측정시 제대로 된 결과값이 나오지 않아 시간을 많이 빼앗겼다. ... 그러므로 MOSFET을 이용한 디지털 로직은 유용하게 쓰일 수 있다.이번 실험 역시 결과가 쉽게 나오지는 않았다. ... 어느 적정 값 대략 4V 정도 이상은 High로 인식하고 1V 정도 이하는 Low로 인식함으로써 Low와 High를 구분할 수는 있었다.이번 실험을 통해 BJT를 이용한 디지털 로직
    리포트 | 8페이지 | 1,000원 | 등록일 2008.11.26
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 7장 위상제어루프
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 7. 위상 제어 루프(PLL)조7조제출일2016-11-10학번, 이름7-1. ... 가변 발진기는 제어신호의 크기에 따라 출력되는 주파수가 변하는 발진회로이다.이와 같은 PLL은 통신 분야와 대부분의 전자제품(컴퓨터, TV, 라디오)에서 폭 넓게 사용되고 있다. ... 실습목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화 원리를 이해한다.7-2.
    리포트 | 9페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 5장 신호발생기
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 5. 신호발생기조7조제출일2016-10-27학번, 이름5-1. ... 설계실습 계획서5-3-1 신호발생기 설계(A) 그림 5-1에 주어진 Wien bridge 회로에서 V+와 V-의 관계식을 구하시오. ... (B) 그림 5-2와 같이 다이오드를 사용하여 Wien bridge oscillator를 안정화 하는 회로를 설계, Simulator의 결과를 제출한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 서강대 고급전자회로 실험 - 실험 8. Digital Filter Design 및 Multi-band Signal Analysis - 예비보고서
    고급전자회로 실험 예비 보고서실험 8. Digital Filter Design 및 Multi-band Signal Analysis분반학번이름조학번이름1. ... 여기서 Fs는 Hz 단위의 sampling frequency이다.3. filter() : One-dimensional digital filter.Y = filter(B,A,X) : ... valid' - zero-padded edges가 없이 계산된 convolution의 부분만을 retunn 한다.2. freqz : Digital filter frequency response
    리포트 | 6페이지 | 1,500원 | 등록일 2015.06.18 | 수정일 2015.10.09
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 8장 논리함수와게이트
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 8. 논리함수와 게이트조7조제출일2016-11-17학번, 이름8-1. ... (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도를 설계한다.1. ... 설계실습 계획서8-3-1 XNOR 게이트 설계 및 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 결과보고서 8장 논리함수와 게이트
    아날로그 및 디지털 회로 설계 실습결과 보고서실습 8. 논리함수와 게이트조제출일작성자조원8-4. ... 등가회로를 구성하였다. ... 다만 우리가 실험실에서 설계한 회로가 실제 분야에서 사용되는 회로와 큰 차이가 나는 부분 중 하나는 wire 부분이라고 생각한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 결과보고서 4장 스텝모터구동기
    아날로그 및 디지털 회로 설계 실습결과 보고서실습 4. 스텝 모터 구동기조제출일작성자조원4-4. ... 회로의 전원을 끈 후, (1)에서 제작된 회로의 출력단에 그림 2의 회로를 추가하여 구성한다. ULN2003AN의 출력단에 스텝 모터를 연결한다. ... 설계 사양에 따라 구현된 회로와 실제 회로는 당연히 오차가 발생한다. 그 이유는 소자들이 갖는 오차가 존재하기 때문이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 전자회로실험보고서_실험7_디지털 집적회로 AND, OR게이트.hwp
    불대수의 함수를 논 리함수(logic function) 라고 부르고, 논리함수를 실현하는 전자적 스위칭회로를 논리회로(logic circuits)라 하며, 이 중 기본이 되는 간단한 ... 실험 준비물 :① 전원 : +5 V DC 전압원② 장비 : 디지털 멀티미터③ 저항 : 1 KΩ, 10 KΩ 3개 0.5 W④ ICs : 7408, 7432, 7427, 7404⑤ 기타 ... 그림 2 에는 3 입력 AND 게 이트에 대한 표시기호와 진리표가 주어져 있다.(2) OR게이트- 모든 입력이 0(low)일 때만 출력이 0(low)- OR연산의 표현식 X=A+B디지털
    리포트 | 6페이지 | 1,500원 | 등록일 2009.12.09
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대