• 통큰쿠폰이벤트-통합
  • 통합검색(5,954)
  • 리포트(5,721)
  • 자기소개서(186)
  • 논문(21)
  • 시험자료(18)
  • 방송통신대(6)
  • 서식(1)
  • ppt테마(1)

"디지털 회로실험 결과" 검색결과 41-60 / 5,954건

  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 실험 과정 및 실험 결과2. 실험 결과 분석3. 결론1. 실험 과정 및 실험 결과4.1. ... 마지막으로 (4)에서 얻은 결과에 대해 서술하시오.이번 실험에서는 디코더(복호기)와 인코더(부호기) 회로를 구성하여 각각의 진리표의 진위를 확인해보고, 특성들을 파악할 수 있었다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 실험 M2 아날로그 및 디지털 기초 회로 응용 결과보고서
    전기 및 디지털 회로실험실험 M2 아날로그 및 디지털 기초 회로 응용결과보고서담당교수 : 교수님학과 : 전기공학과학번 :이름 :실험실험 M2 아날로그 및 디지털 기초 회로 응용개요기존에 ... 확인한다.회로 결선도실험 결과디지털 Input (Read) 결과분석이번 실험은 스위치를 누르면 상태가 시리얼 모니터에 연속으로 출력되도록 프로그램을 작성하고 실행한 다음 결과를 확인하는 ... 및 업로드한 후, 스위치를 눌렀다 떼며 그 결과를 확인한다.실험 결과디지털 Output (Write) 결과분석이번 실험은 스위치를 눌렀을 때 보드에 내장된 LED가 켜지고, 누르지
    리포트 | 18페이지 | 1,000원 | 등록일 2022.10.31
  • 서강대학교 디지털논리회로실험 7주차 결과보고서
    배경이론 및 실험방법Counter는 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다. ... 실험목적1) CountersCounter의 구조와 동작원리를 이해한다.비동기/동기 counters2) State machine designMealy and Moore machines를
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 9주차 결과보고서
    실험목적1) 메모리 소자들의 동작 원리와 활용 방법을 이해한다.Read Only Memory(ROM)Random Access Memory(RAM)2) Address decoding의 ... 배경이론 및 실험방법ROM이란 Read Only Memory의 약자로, 아래는 n개의 주소 신호와 b개의 데이터 신호를 갖는 ROM의 기본적인 구조를 보여준다.ROM에서는 저장된 내용이
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 4주차 결과보고서
    배경이론 및 실험방법Multiplexer는 n개의 입력신호로부터 1개를 선택해서 출력에 연결해주는 Digital Switch이다.일반적으로 n개의 입력을 갖는 b-bit multiplexer의 ... Parity circuit는 FPGA로 회로를 구현해 알아보는데, MUX와 DeMUX 를 연결해 데이터가 어떻게 전달될 수 있는지 관찰한다. ... 실험목적1) Multiplexer의 동작원리와 활용방법을 이해한다.2) Exclusive-OR gate의 동작원리와 활용방법을 이해한다.3) Three-state 소자의 동작원리와
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 8주차 결과보고서
    실험목적1) Shift RegistersShift registers의 구조와 동작원리를 이해한다.Shift register를 활용하여 multiplier를 구성한다.2. ... 배경이론 및 실험방법Shift register란 개별적인 flip flop들의 연결에 의해 구성되는 shift register는 clock의 한 주기가 지날 때마다 연결되어 있는 register들의 ... .④ Parallel-in, parallel-out병렬로 입력되어 저장되는 모든 입력 데이터를 보여줄 수 있는 출력 신호를 갖는 회로이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 6주차 결과보고서
    배경이론 및 실험방법Sequential logic circuit(순차논리회로)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 의미한다. ... 실험목적1) Flip-flop의 종류를 파악하고 각각의 동작원리를 이해한다.SR-, D-, JK- flip-flopsSet up time과 hold time에 대해 이해한다.2) Resisters의 ... Latch와 flip-flop은 순차논리회로 설계의 기본이 되는 function block들이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 2주차 결과보고서
    구현된 임의의 논리 함수가 최소화 될 수 있음을 확인한다.4) Wired OR logic의 특성과 활용 방법을 익힌다.5) FPGA를 이용하여 간단한 논리 회로를 구현하고 동작을 확인한다 ... 배경이론 및 실험방법Logic signal은 기본적으로 0(low)과 1(high)을 활용해 나타낸다. ... 실험목적1) TTL logic gates의 동작 방법을 익힌다.2) Logic level과 noise margins, 그리고 fanout에 대해 이해한다.3) Gates를 이용하여
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 10주차 결과보고서
    실험목적1) Digital-to-analog 변환(DAC)- Digital 신호를 analog 신호로 반환하는 회로의 동작 원리를 이해한다.- DAC IC(DAC0808)의 구동 방법을 ... 배경이론 및 실험방법DAC는 이진수 체계로 표현된 디지털 신호를 상응하는 아날로그 전압으로 전환하는데 사용되는 소자로, 이 소자는 집적회로의 형태로 공급되고 있는데, 그 기능을 파악하기 ... R-2R ladder circuit를 이용해 DAC를 나타낼 수 있는데, 회로는 다음과 같다.이 회로는 R과 2R의 크기를 갖는 저항들을 사다리형태로 배열하고 입력되는 4-bit 디지털
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 5주차 결과보고서
    배경이론 및 실험방법비교회로(Comparator)는 두 binary 수의 비교를 통해 판단하는 회로이다.Adder(가산회로)는 두 개의 1-bit를 더해 2-bit의 합을 출력한다. ... 실험목적1) Exclusive-OR회로를 이용한 비교회로의 구현 및 동작원리 이해2) 기본 gate를 이용한 half-adder 및 full-adder의 구현 및 동작원리 이해-ISE를 ... 실험은 XOR를 이용해 Comparator를 구현해보고 그 동작을 확인한다. 또한 FPGA에 내장 되어있는 소자인 COMPM4를 이용해 그 기능을 확인한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 3주차 결과보고서
    배경이론 및 실험방법회로를 수식으로 나타낼 때 직접적으로 최소화하는 것은 비효율적이다. 더 효율적인 방법의 예는 Karnaugh map이 있다. ... 이 소자의 경우, 한번에 한개의 요청에 대해서만 동작하는데, 복수의 요청이 동시에 들어오는 경우를 대비해 각 입력에 우선 순위를 할당해 놓는다.실험은 주어진 회로를 브래드보드에 나타낸 ... Decoder는 하나의 code체계를 다른 code 체계로 변환하는 논리회로이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.02
  • 디지털 논리회로 실험 1주차 기본 논리 게이트 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : 기본 논리 게이트 (AND, OR, NOT Gate)소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자 ... B를 뜻하는 회로였다. 위의 회로실험결과, 논리값은 A?B의 진리표와 같은 값이 나왔다. ... 지금 실험과 같이 연결이 안 되어 있을 경우 회로의 전압이 흐르지 않아 결과값이 출력되지 않는다.2. 실험 결과 분석AND 게이트 진리표의 진위 여부를 알아보는 실험이었다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : D-FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험 과정 및 실험 결과2. 실험 결과 분석3. 결론1. ... 이 회로에서는 CLK가 HIGH가 될 때 Q의 값이 이전의 값의 보수값을 취하는 것을 확인 할 수 있다.2. 실험 결과 분석Gated D Latch를 결선하는 실험이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 7주차 JK-FlipFlop 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : JK FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험 과정 및 실험 결과2. 실험 결과 분석3. 결론1. ... 결론결과보고서결과 보고서에 응용 실험 (1), (2)에 대한 내용을 포함하시오.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.04.22
  • 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    디지털논리회로실험(EEE2052-01)서강대학교 전자공학과2017년 2학기 결과레포트실험8. Multiplier Design1. ... 실험개요1) 4비트 곱셈기의 구조와 원리를 이해한다.2) 팀 단위로 디지털 회로 설계하는 방법을 이해 한다2. 퀴즈 답안지 및 정답-퀴즈 없음3. 실험노트-실험 노트 없음4. ... 실험 결과 및 분석1) 각자가 설계한 Block을 Xilinx ISE로 합성하고, FPGA에 다운로드 한 후 동작을 검증한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 두더지 잡기 verilog with BGM ( A+ 프로젝트 결과물, 논리회로실험, 디지털시스템실험 )
    디지털 시스템 실험 ( 논리 설계 실험 ) 최종 프로젝트 과제입니다.두더지 잡기 게임이며, 게임 시작과 동시에 음악도 재생됩니다.A+ 받았으며 퀄리티 보장합니다.
    리포트 | 1페이지 | 10,000원 | 등록일 2020.11.14 | 수정일 2020.11.19
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    디지털논리회로실험결과 보고서[8주차]실험 7. Finite State Machines1. ... 실험 결과 및 분석1) 중간고사 1-(a)번의 회로를 schematic으로 구현하여 시뮬레이션이번 실험에서는 schematic으로 그린 회로를 키트에 FPGA로 구현하지 않고, 시뮬레이션으로 ... 실험 개요1) Finite state machine (FSM) 회로를 설계하고 분석할 수 있는 능력을 갖춘다.2) Mealy와 Moore state machine을 구분하고 각각의
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서강대학교 고급전자회로실험 - 실험 8. Digital filter design 결과 보고서
    고급전자회로 실험 결과 보고서실험 8. Digital filter design분반학번이름조학번이름시작종료실험시작/종료시간 기재(통계 목적임)1. ... 실험.(1) 실험 11.1 다음의 filter를 제작하시오. ... 이는 Spectrogram에서 살펴 본 주파수 성분과 관계되고 일치하는 것을 알 수 있다.(2) 실험 2Lab 7의 두 번째 실험에서 제작한 작은별 곡(2,3,4차 하모닉 성분 포함
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    디지털논리회로실험결과 보고서[3주차]실험 3. Decoders and Encoders1. ... 디지털 회로설계 교재의 내용과는 달리 소자의 입력과 출력이 모두 active low라는 점에 유의할 필요가 있다. ... 실험 결과 및 분석1) Binary decoder의 구현A.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 결과 보고서
    디지털논리회로실험결과 보고서[11주차]실험 9. Memory Elements : ROM/RAM1. ... 실험 노트 : 별첨 #24. 실험 결과 및 분석1) 과정 1~4실험 키트의 ROM에 저장된 데이터를 확인하였다. 회로는 [그림 1], [그림 2]와 같다. ... 실험 결과는 [표 1]에 정리하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대