• 통큰쿠폰이벤트-통합
  • 통합검색(110)
  • 리포트(98)
  • 시험자료(8)
  • 자기소개서(2)
  • 방송통신대(2)

"엔티티정의서" 검색결과 41-60 / 110건

  • 쇼핑몰구축 데이터베이스설계 레포트(엔티티,관계,ERD,SQL문,질의어,관계)
    목차1-1 요구사항 1-2 엔티티 정의 1-3 관계 정의 2-1 ERD 2-2 전체적인 ERD 2-3 테이블명세서 3-1 SQL문 작성 3-2 데이터 입력 3-3 질의어요구사항 : ... 상품정보에는 상품번호, 상품명, 재고량, 판매가, 할인가를 저장한다.1-1 요구사항1-2 엔티티 정의엔티티엔티티설명관련속성회원회원에 관한 정보를 관리를 위한 엔티티.회원번호,비밀번호 ... (50)재고량INTEGER판매가DECIMAL(10,2)할인가DECIMAL(10,2)상품 테이블 명세서질문회원 테이블 명세서테이블이름열이름데이터형식NULL 유무기본키외래키FK 테이블
    리포트 | 23페이지 | 3,000원 | 등록일 2009.05.09
  • 병원데이터베이스 모델링
    엔티티타입 정의 ... 요구사항 명세서< 사용자 요구사항 >>.● 의사 : 의사 한명 당 한명의 환자를 맡는다.
    리포트 | 13페이지 | 2,000원 | 등록일 2011.10.08
  • [XML][XML표준문서][XML의 배경][XML의 특징][XML의 장점][XML의 스펙][XML의 응용분야][XML의 활용]XML의 배경, XML의 특징과 XML의 장점, XML의 스펙 및 XML의 응용분야, XML의 활용에 관한 분석
    만약 매개변수엔티티를 라고 선언하게 되면 TS라는 매개변수 엔티티정의하게 되는 것이고 이것을 INFO엘리먼트를 선언할 때 적용하면 다음과 같다. ... XML을 이용한 문서 관리XML을 이용하면 하나의 웹브라우저를 통해 모든 문서를 확인할 수 있기 때문에 문서를 통합적으로 관리할 수 있다.특정 문서 양식이나 기안, 제안서, 보고서, ... XML DTD작성방법엘리먼트 선언 엘리먼트형과 내용을 정의하는 것으로 DTD에서 뼈대가 되는 부분이다.
    리포트 | 10페이지 | 5,000원 | 등록일 2008.12.12
  • Database관리론
    정확한 요구사항의 명세서를 작성하는 것이 구체적인 목표라 하겠다. ... 이는 개념 모형의 설계 단계로 요구사항 명세서를 바탕으로 하여, 데이터베이스에 표현될 데이터, 데이터들 간의 관계, 데이터의 의미, 데이터의 제약조건등이 무엇인지를 추상적 관점에서 ... 제1정규형, 제2정규형, 제3정규형이무엇인지정의하고, 예를 들어 설명하시오 (10점)8. 역정규형이 무엇이며, 왜 역정규형을 하는지 설명하시오 (10점).1.
    리포트 | 14페이지 | 3,000원 | 등록일 2008.12.09
  • 대형마트의 정보화전략계획(ISP)사례분석 (A+)
    경영전략 분석●기업사명/비전(경영목표) 정의1. ... 소비자도 중요하지만 대량구매하는 소비자를 더 원한다는 것은 암묵적인 사실이기 때문에 이를 유도하기위해서 택배는 아주 좋은 수단이 된다.● Process Modeling- 프로세스 명세서 ... 현 기업모형 분석● Data Modeling- 주요 엔티티(Entity) 도출:Entity1.고객, Entity2.직원, Entity3.서비스, Entity4.택배● 경영전략과 Entity간의
    리포트 | 6페이지 | 3,000원 | 등록일 2013.03.19
  • 데이터베이스 정규화 과제
    이것은 N:M 의 관게이므로 교차실체를 정의해서 그 엔티티에 성적을 포함시키고 1:N 의 관계를 맺어 주면 된다.6. 물리적 데이터 모델9. ... 하지만 해당과목의 성적을 알기 위해서는 학번차실체 엔티티를 만들어서 시간 / 강의실 / 교재 / 강의계획서의 속성들을 집어 넣어 주고 1:N의 관계를 맺어주면된다.교수 - 학생 사이의 ... 여기서 고려해야되는대상은 수강신청 엔티티 즉, 과목코드와 학번의 복합키로 구성된 엔티티이다.
    리포트 | 27페이지 | 2,000원 | 등록일 2009.06.28
  • 이외의 분석 및 설계기법들
    경우 각 출력물에 대하여 하나의 종이보고서가 만들어진다.13/42최길순종이보고서월별 보고서예금 금청구 정보 주문번호 회원번호 청구금액 지급금액예금 번호 날짜----- ----- -- ... 영향을 주는가 를 정의하여 기술37/42최길순Z 정형 명세기법유형을 적용한 집합이론(typed set theory)과 일차논리(first or- der logic)에 근거 Z 정형 ... 모델기반 명세기법은 시스템의 모델을 잘 이해할 수 있는 수학적인 객체들인 집합(set)과 기능(function)을 사용하여 정의하는 방법 시스템의 오퍼레이션은 전체 시스템 모델에 어떠한
    리포트 | 43페이지 | 1,500원 | 등록일 2008.09.30
  • [XML][XML문서][인터넷][웹페이지][XML 등장배경][XML 개발목적][XML 구조][XML 특징][XML 문법][XML 활용]XML의 등장배경, XML의 개발목적, XML의 구조, XML의 특징, XML의 문법, XML의 활용 분석
    이것들은 엔티티들(entities)이라고 불린다. 엔티티는 모두 내용을 갖고 있으며 모두 이름으로 식별된다. 각각의 XML문서는 X서에서 발생하는 모든 것을 의미한다.Ⅴ. ... 물리적으로 XML 문서는 엔티티(entity)라는 요소들로 이루어진다. 엔티티는 다른 엔티티들을 참조해 그것들을 문서 안에 포함시킬 수 있다. ... 물리적 구조1) 엔티티 선언2) 엔티티의 용도4. XML 프롤로그Ⅴ. XML의 특징Ⅵ. XML의 문법1. XML 문법 표기법2. 요소(Element)와 태그(Tag)3.
    리포트 | 11페이지 | 5,000원 | 등록일 2008.12.21
  • ITC 출판사 데이터베이스 시스템 5판 복습문제 1~6장 정리
    ->n개의 엔티티 타입 E1,E2…,En 사이의 관계 타입 R은 엔티티 타입들에 속하는 엔티티들 간의 연관들의 집합을 정의한다. ... 않는다.데이터베이스 관리자 - 데이터베이스 관리자는 데이터베이스에 대한 접근을 감독하며, 자원의 사용을 모니터링하고 조정한다.최종 사용자 - 데이터베이스에 대하여 질의, 갱신, 보고서한 ... ->릴레이션은 논리적 또는 추상적 단계의 사실을 표현하기 때문에 투플들의 순서는 릴레이션 정의의 일부가 아니다. 릴레이션은 투플들의 집합으로 정의된다.
    리포트 | 19페이지 | 2,500원 | 등록일 2008.04.20
  • 기업의 스마트한 유통 시스템을 위한 DB 설계 구축 프로젝트
    .업무 기능도405.단위 업무 기술서506.업무 흐름도1007.엔티티 정의서1108.관계 매트릭스1309.관계 정의서1410.개념 ERD1511.논리 ERD1612.도메인 정의서1713 ... Smart business system데이터베이스 설계프로젝트 보고서Version 2.4.2목 차page00.목 차101.회사 소개 (기업 정보 및 주요 업무)202.프로젝트 개요304 ... 판매 비용매출이익sales_profitNUMBER회사 순수 이익일반관리비management_taxNUMBER부가적인 관리비SystemSmart Business System도메인 정의서작성일2012
    리포트 | 60페이지 | 5,000원 | 등록일 2012.06.24
  • 데이터베이스 시스템 & 데이터 모델링의 이해
    엔티티 세트와 엔티티 세트간에는 여러 가지 유형의 관계가 존재한다.? ... 엔티티는 그 엔티티를 구성하고 있는 애트리뷰트들이 값을 가짐으로써 구체화 한다.③ 관계(Relationship)? ... 크게 개선되지만 삽입 및 삭제 속도는 저하된다.④ 수치 및 문자열에 대한 인덱스 구조는 B-트리 계열과 해시 파일 구조가 주로 사용된다4) 응용 메타 데이터① 사용자 양식, 보고서,
    리포트 | 18페이지 | 1,000원 | 등록일 2008.09.13 | 수정일 2017.09.25
  • 포렌식에서 본 DB모델링 중요도
    그리고 인스턴스들은 서로 간에 식별 가능해야 합니다. 2NF는 프라이머리 키(PK)가 아닌 모든 컬럼들이 프라이머리 키(PK)에 종속되어야 합니다. 3NF는 2차 정규형을 만족하며서 ... CRUD MATRIX DESCRIPTION업무 프로세스와 데이터간 상관 분석표는 행은 업무 프로세스로, 열은 엔티티 타입으로 구성되며 행과 열이 만나는 교차점에 발생 및 이용에 대한 ... 물리적 데이터베이스 모델링물리적 모델링은 논리적인 모델링 과정에서 정의된 모델을 개발 DBMS및 하드웨어의 특성을 고려하여 물리적으로 데이터베이스 스키마를 구축하는 것을 말하는데 간단히
    리포트 | 5페이지 | 3,000원 | 등록일 2012.06.11 | 수정일 2013.11.11
  • 정보학의 이해 총정리
    서 론2. 본 론3. 결 론4. 참고문헌1. 서 론정보는 수천 년 전부터 존재해 지금까지도 우리 생활 속에 자연스레 함께 하고 있다. ... Luhn의 정의를 토대로 살펴보면, 도큐먼트는 멀티미디어를 포함할 수 있다. ... 다른 색인환경과 비교해보면 다음과 같은 독특한 양상을 가지고 있다.첫째, 하이퍼구조 환경에서는 색인어가 도큐먼트로부터 분리된 별도의 엔티티가 아니라 도큐먼트 자체 내에 포함되어 있다
    리포트 | 38페이지 | 1,000원 | 등록일 2012.01.26
  • zigbee 기술
    즉 데이터 링크 엔티티 간의 통신을 위한 물리적 구조에 대한 정보를 다루는 레이어이며, 868MHz는 유럽에서 사용되며 915MHz는 미국과 호주 등의 나라에서 사용되고 24GHz는 ... AbstractInterim까지의 과정에 관한 보고서에서는 유비쿼터스 시스템 보다는 Home network 무선기술인 HomeRF의 Low rate version으로부터 시작되어 Firefly ... Zigbee Alliance에 의해 생산된 제품들에 대한 정보를 바탕으로 유비쿼터스 분야에서 실제적으로 사용되게 될 것이고, 사용되고 있는 제품의 동향을 파악하였습니다.특히 이 보고서에서
    리포트 | 13페이지 | 2,000원 | 등록일 2010.06.04
  • 정보처리기사 실기 데이터베이스 용어정리
    정의기능2. 조작기능3. ... 요구조건분석 -> 명세서2. 개념적 설계 -> 스키마3. 논리적 설계 - 정규화 과정 -> 논리 스키마4. 물리적 설계 -> 물리 스키마5. ... 행(Row) = 레코드카디널리티튜플의 수속성개체가 가지는 특성이나 상태 = 테이블의 열(Column)차수속성의 수도메인하나의 속성이 취할 수 있는 같은 타입의 원자 값들의 집합교차엔티티
    시험자료 | 6페이지 | 2,000원 | 등록일 2012.07.03
  • 정보처리 산업기사, 정보처리 기사 실기 기출 정리
    Alter : 정의 변경10. ... 릴레이션 : 스키마(내포) + 인스턴스(외연) / 디그리 = 속성의 수 = 차수 / 카디널리티 = 튜플의 수 = 기수 = 대응수 / N:M : 교차 엔티티13. ... 합격하나 커트라인으로 합격하나 똑같은 합격입니다.자료는[1] 알고리즘[2] 데이터베이스[3] 업무프로세스[4] 신기술 동향[5] 전산영어순으로 되어 있고, 데이터베이스는 먼저 기본서에
    시험자료 | 12페이지 | 2,500원 | 등록일 2012.08.19
  • [ASIC] VHDL, Verilog, SystemVerilog의 비교
    보고서 마지막의 도표는 좀 더 자세한 특성별 비교를 나타낸다.VHDLVHDL은 막강하고 풍부하게 typed 언어이다. ... 많은 설계자와 단체들은 다른 언어로의 전환을 고민한다.이 보고서는 3가지의 일반 HDL 의 기술적 특징을 비교하겠다.· VHDL(IEEE-Std 1076) : 다양한 인증, 합성(실행 ... 유연성을 허용함NoYes인터페이스는 언어에서의 독립적 구조다중 추상화 레벨을 지원하고 인터페이스 재사용을 용이하게함.코딩을 줄일 수 있음설정 & BindingYes인스턴스의 제어 또는 엔티티로의
    리포트 | 7페이지 | 1,500원 | 등록일 2008.04.03
  • [데이터베이스]데이타 베이스 시스템(제4판) 연습문제 풀이 입니다.
    저장되지 않는다.데이터베이스 관리자(DBA) : 데이터 베이스에 대한 접근을 감독하며 자원의 사용을 모니터링하고 조정한다.최종 사용자 : 데이터베이스에 대하여 질의하고,변경하고,보고서를 ... , 수정의 수단으로서 연산들의 집합저장구조 정의어 : 내부 스키마를 정의정의어 : 사용자 뷰를 명시하고 개념 스키마 사이의 사상을 나타낸다.질의어 : 고수준 데이터 조작어가 그 ... 클라이언트로부터 요청을 받아 처리하고, 데이터베이스 명령들을 데이터베이스 서버로 보낸다음 데이터베이스 서버에서 처리된 데이터를 클라이언트로 보내는 통로 역할을 한다.3.3 다음의 용어들을 정의하라.엔티티
    리포트 | 15페이지 | 2,000원 | 등록일 2006.01.18
  • 관계모델 개체선정과 요구사항분석서
    ※개체 선정회원, 판매자, 도서, 오픈 마켓, 구매자, 배송, 정산※Entity type 정의서개체명설명동의어속성관련엔티티회원인터파크에 회원가입한 사람을 말한다.도서회원회원 ID, ... 중 오픈마켓에 판매자로 가입한 사람을 말한다.이름, 회원 ID, 판매자닉네임, 이메일, 전화번호, 고객상담 전화번호, 휴대폰번호, 휴대폰인증번호회원, 오픈마켓 도서, 배송, 정산도서오픈마켓에
    리포트 | 4페이지 | 1,500원 | 등록일 2010.07.03
  • [VHDL] Entity, Architecture, VHDL, Process문
    엔티티의 이름, 포트의 이름, 포트의 모드, 포트의 자료형을 정의한다. ... 설계의 초기 단계에서 사양서에 따른 동작 특성과 알고리즘 검증은 반도체 공급업체의 라이브러리와 상관없이 VHDL로 기술하여 시뮬레이션을 할수 있다. ... 외부 인터페이스를 정의한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2009.05.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대