• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(8,592)
  • 리포트(7,846)
  • 시험자료(496)
  • 방송통신대(134)
  • 자기소개서(45)
  • 논문(44)
  • 서식(16)
  • ppt테마(8)
  • 이력서(2)
  • 노하우(1)

"16BIT" 검색결과 41-60 / 8,592건

  • FPGA 디지털 시스템 설계 : 16bit Full adder 설계
    16bit Full Adder 설계1. 16bit Full adder module 설계16bit full adder는 총 16bit인 두 숫자를 더하는 역할을 한다. ... full adder는 덧셈을 계산할 두 숫자의 크기는 16bit여야 하며, 마찬가지로 그 결과값도 16bit여야 하므로 sum과 a, b는 [15:0]를 적어 16bit로 구성하였다 ... full adder는 16bit인 두 숫자를 더하는데, 16bit는 10진수 unsigned로 나타내면
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.18
  • 동기식 16비트 Gray Counter 로직 설계 소스 코드
    ,logic)변수 중 시뮬레이션 시간을 최소화하는데 이용되는 bit 형 변수를 이용하여 입력 포트 자료형을 이용한다.그레이 카운터의 정상동작을 하기 위해 1비트만 로직 1이 구동되도록 ... 연속 카운터 값사이에 1비트의 값만 변화(토글)시키면서 상태값을 증가(감소)시키는 Gray 계수기를 System Verilog를 이용하여 설계파일을 구현한다.설계파일의 기능외에도 테스트 ... 수행할 수 있도록 초기화 블록을 포함시키고이의 기능을 동작할 수 있도록 시스템 베릴로그의 데이터 자료형 중 네트형 변수와 레지스터형 변수의 기능을 함께 수행할 수 있는 데이터 자료형(bit
    리포트 | 3,000원 | 등록일 2013.02.17
  • FPGA를 이용한 디지털 시스템 설계(인하대) 16bit Full Adder 보고서 (verilog코딩)
    이를 그림으로 나타내면 아래와 같다.위 그림에서 알 수 있듯이 4개의 1bit fulladder는 FA3 ,FA2, FA1, FA0이고 a와 b는 4비트의 입력값이고 sum은 4비트의 ... 이전 비트의 올림수를 고려하여 주면 전가산기가 된다. ... 것이다.@1. 1bit full adder 코딩기본적으로 쓰일 1bit fulladder를 코딩하기에 앞서 전가산기의 진리표를 작성해야 한다.가산기는 각각의 비트를 더하는 회로이며
    리포트 | 12페이지 | 2,000원 | 등록일 2015.09.25
  • VHDL 16bit 나눗셈기
    vhdl로 구현한 16비트 나눗셈기 입니다. 소스파일, 테스트벤치파일, 파워포인트로 작성된 소스설명서가 들어있습니다.테스트벤치는 모델심(Modelsim)에서 돌려보실수 있습니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2009.04.03
  • 서강대학교 디지털회로설계 설계1 16bit CLA
    이때 덧셈기는 16-비트의 입력과 출력을 가지도록 한다. ※ 제한요인 ① 효율적인 프로그래밍으로 연산속도를 최소화한다. ... (실현 가능성) ※ 주의사항 ① VHDL언어를 사용하여 설계한다. ② 각 논리 게이트는 특정 지연 시간을 갖도록 설계한다. ※ 테스트 방법① 16-비트 입력에 대한 test
    리포트 | 13페이지 | 2,500원 | 등록일 2013.04.12 | 수정일 2014.01.03
  • VHDL을 이용한 16bit ALU 설계 및 파형
    집적회로실습과제 Report16bit ALU 설계전자정보시스템제출일: 5/31ALU (Arithmetic Logic Unit)산술 논리 장치Mathematician HYPERLINK ... ;entity ALU isPort ( clk : in STD_LOGIC; --ALU 동작클럭A : in STD_LOGIC_VECTOR (15 downto 0); --16bit 입력 ... D가 존재논리연산 회로게이트와 멀티플렉서로 구성되었고각 게이트가 정해진 논리 연산을 수행하고 이 결과들 중에서 하나를 멀티플렉서로 선택하여 최종 출력값을 결정아래와 같이 동작하는 16bit
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • 로직웍스로 구현한 16 bit ALU
    ALU 내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리 장치(sequence
    리포트 | 9페이지 | 1,500원 | 등록일 2008.12.08
  • [컴퓨터구조] 16bit 컴퓨터 설계
    자원 설계-Register (n-bit Register)-Memory-Flip flop (SR, JK)-Decoder (1*2, 2*4, 3*8)-Common Bus (Mux(2*1
    리포트 | 18페이지 | 2,500원 | 등록일 2008.09.26
  • 16비트 ALU VHDL설계
    16bit ALU@ 동작CODE동작FLAG비고0000Y←AZtransfer0001Y←A+1C, Z, V, Nincrement0010Y←A+BC, Z, V, Nadd0011Y←A+B+ ... downto 0);c_flag,z_flag, v_flag,n_flag : OUT std_logic);END alu16;ARCHITECTURE arch_alu16 OF alu16 ISBEGINPROCESS ... VDHLLIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY alu16 IS PORT(a,
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • [VerilogHDL] CLA(Carry Look ahead Adder)를 이용한 16bit 고속 가산기 설계
    Carry Look ahead Adder■ 16bit Carry Look ahead adder 설계? ... 16bit Carry Look ahead Adder Test bench 코드 및 Timing Simulation 결과`timescale 1ns / 1nsmodule tb_CLA16; ... 디지털설계CLA(Carry Look ahead Adder)를 이용한 16bit 고속 가산기 설계■ RCA(Ripple Carry Adder)?
    리포트 | 6페이지 | 2,500원 | 등록일 2013.05.25
  • vhdl 소스 16bit adder 시뮬레이션_이상없음
    full adder 를 이용한 16bit adder 입니다 full adder
    리포트 | 1,000원 | 등록일 2009.12.09
  • Full-adder를 이용한 16bit adder
    library IEEE;use IEEE.std_logic_1164.all;entity adder16 is port ( sum : buffer std_logic_vector ... in std_logic_vector (15 downto 0) ; Cin : in std_logic );end;architecture structural of adder16
    리포트 | 2페이지 | 1,500원 | 등록일 2008.12.07
  • 클럭 소비 시간을 줄인 순차 나눗셈기(16비트 순차 나눗셈기:8클럭 소비)
    리포트 | 3,000원 | 등록일 2014.03.27 | 수정일 2014.04.03
  • [FPGA] 16비트 Full Adder(전 가산기) 설계 소스 및 모델심 파형
    FPGA 레포트-16bit full-adder 설계하기1. ... 일단 예제에 있는 4비트 전가산기를 참조하여 1비트 전가산기를 사용해서 확장하는 원리라는 것을 알게 되었고 여러 가지로 시행 착오를 겪었지만 결국 원하는 소스를 만들어 내고 원하는 ... full-adder 코드(2) 16bit full-adder 테스트 벤치파일 코드 (이름에 의한 연결)module tb_fulla16();wire [15:0] SUM;wire C_OUT
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.06
  • [컴구조]16비트 베이직 컴퓨터설계
    Microsoft Visio를 써서 16비트 베이직 컴퓨터를 직접 설계한 파일입니다.(위 스크린샷은 일부분입니다)
    리포트 | 10,000원 | 등록일 2008.07.07
  • 16비트컴퓨터설계 / Active_HDL 로직 포함.
    16비트 컴퓨터 설계입니다. ( % 이름은 8비트 컴퓨터라고 써있음!!! )Active_HDL로 설계 하였습니다.
    리포트 | 1페이지 | 2,000원 | 등록일 2009.05.30
  • [어셈블리언어] E07. 16비트 어셈블리 / INT 21h
    MS-DOS 프로그래밍의 인터럽트 21h를 사용하여 각각의 프로시저의 역할을 지정해 주었다.커맨드창에서 MAKE16.BAT를 이용하여 파일들을 만들고, 실행시켜야 했다.
    리포트 | 2,000원 | 등록일 2010.07.11
  • 16bit cpu의 내부 구조
    16bit CPU의 내부 구조....1. ... 메모리의 구조- 우선 16bit 연산을 하는 CPU를 가정하여 메모리 구조를 나타내었다.Opcode는 연산을 나타내는 명령어 0~11bit는 메모리의 주소값을 나타낸 것이다. ... 그리고 맨 처음의 I bit는직접 명령 또는 간접 명령을 나타낸 것이다. 아래의 것은 연산코드의 사용 예이다.3.
    리포트 | 3페이지 | 무료 | 등록일 2006.10.30
  • [matlab] AWGN 채널에서 BPSK, QPSK, 16QAM의 BER(Bit Error Rate) 분석
    -matlab code(16QAM Experiment)%16QAMt = [1:10000];d = [10000:-2:-9998];N = 10000;biterror = 0;error = ... (Experiment)');xlabel('Eb/No(dB)');ylabel('BER');-graph-matlab code(16QAM Theory)%16QAM Theoryt = [1: ... QAM 통신 시스템-AWGN channel하에 16QAM coding을 구현하고 Eb/No에 대한 BER을 분석하였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2011.10.05
  • 16bit booth multiplier verilog code
    :0] PP0; wire [16:0] PP1; wire [16:0] PP2; wire [16:0] PP3; wire [16:0] PP4; wire [16: ... , carry16; ... 0] PP5; wire [16:0] PP6; wire [16:0] PP7; wire sum_n14, carry_n14; wire sum_n13, carry_n13
    리포트 | 1,000원 | 등록일 2007.08.02
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대