• 통큰쿠폰이벤트-통합
  • 통합검색(1,085)
  • 리포트(1,038)
  • 시험자료(28)
  • 방송통신대(9)
  • 자기소개서(6)
  • 논문(4)

"3 8 디코더" 검색결과 41-60 / 1,085건

  • 8주차 예비 - 인코더와 디코더 회로
    그렇지 않으면 모든 입력 데이터의 디코더 맵은 disabled되어 단일상태의 출력이 얻어진다.(2) 인코더(Encoder) : 인코더는 입력으로 10진식이나 8진식의 정보를 받아들여 ... 기초회로실험1전자공학부10주차실험제목 : 인코더와 디코더 회로실험목적 : (1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment ... 이는 인코더 회로는 디코더회로의 반대기능을 지닌 회로라는 것을 의미한다.(3) 7-세그먼트 표시기(Seven Segment indicator) : 7-세그먼트는 디지털 신호에 의해
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 방통대 방송대 컴퓨터구조 5페이지 암기노트 핵심요약정리
    제어기억장치(ROM)제어데이터레지스터(CDR)유형마이크로프로그램(펌웨어) 의한: 제어단어(마이크로명령어/연산) 사용마이크로명령어: A B D F H MUX1(내/외부) MUX2(상태비트8가지 ... 연산종류) + 오퍼랜드(데이터/주소)수행기능: 함수연산, 정보전달, 순서제어, 입출력형식 분류오퍼랜드 기억장소: 누산기(데이터 레지스터) / 다중 레지스터 / 스택 구조오퍼랜드 수: 3- ... )제어단어: 제어변수(선택신호-마이크로연산 선택) 묶음 / ALU(4), 시프터(3)[제어장치]역할: 기억장치 명령어 하나씩 읽고 해독 제어신호 발생 마이크로연산 명령어 수행구성:
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.04.10 | 수정일 2024.04.12
  • 디지털공학 중간고사 족보
    2진수 101110.01011을 변환하라.1) 10진수2) 16진수3) 8진수4) 8,16진수의 용도는? ... .2) 두 개의 반가산기와 OR 게이트로 설계 하여라.3) 디코더를 사용하여 구현하여라.4) 멀티플렉서를 사용하여 구현하여라.다음을 설계하여라.1) 반가산기2) 전가산기3) 반가산기를 ... )1) AND-OR2) OR-AND3) NAND-NAND4) NOR-NOR5) NAND-AND6) AND-NOR7) OR-NAND8) NOR-OR전가산기에 대하여1) 진리표를 보여라
    시험자료 | 2페이지 | 3,000원 | 등록일 2020.12.29 | 수정일 2021.03.29
  • 디지털 논리와 컴퓨터설계 제5판 7~12장 연습문제
    크기는 3×2 ^{3}7.9 어드레스 디코더를 위해 한 개의 NOR게이트가 사용된 32Kx8 RAM의 디코더 회로를 그려라. ... sol)cs = “칩선택입력”20-17 = 3 // 20개의 어드레스선중 17개가 연결되고 남는3개는 디코드 되기 때문에 3개가 디코드된다.k×2 ^{k}→ 디코더 크기구하는식따라서 ... 각 멀티플렉서 셋과 디코더에 대해 필요한 선택 신호의 개수를 구하라.sol)멀티플렉서의 A 선택, B 선택 각각 6개, 디코더의 목적지 선택6개8.2 8비트 ALU의 출력이 F7부터
    시험자료 | 29페이지 | 2,000원 | 등록일 2022.01.05
  • (디지털실험A+)디코더를 이용한 조합논리_결과보고서
    Experiment-Report(8디코더를 이용한 조합논리)1. 실험목적디코더를 이용한 다중 출력 조합 논리 회로를 구현한다.2. ... (디코더 Y0에 불이 안들어옴)3. 결과 분석이번 실험은 디코더의 동작 원리를 다시 상기하고 IC칩으로 직접 실험을 해보는 시간이었다. ... 입력이 3개 이므로 디코더의 출력은 개가 된다. 본 회로는 항상 불이 들어오게끔 해야하므로 Enable을 G1에서 1로 고정을 시켰다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.05
  • 디지털공학개론(1. 카운터의 응용으로 디지털 시계의 회로도를 완성해 가는 과정 설명/ 2.4가지 기본형 레지스터의 분류에 속하는 IC들 정리)
    카운터가 레지스터의 특별한 형태이지만, 이름을 달리하여 레지스터와 구별하는 것이 보통임3. ... 병렬출력74164(8Bit Parallel Output Serial Shift Registers)1) 8개의 S -R 플립플롭으로 구성된 직렬입력 - 병렬출력 레지스터2) CLR = ... 및 드라이브 회로▶ 시 단위의 카운터, 디코더 및 드라이브 회로▶ 디지털 시계의 전체 회로도2) 4가지 기본형 레지스터의 분류에 속하는 IC들 정리레지스터의 사용1.
    리포트 | 8페이지 | 2,500원 | 등록일 2023.01.17 | 수정일 2024.05.14
  • 논리회로설계 실험 디코더 인코더
    8C/%EB%AC%B8%EB%B3%91%EC%A4%80/2014%EA%B8%B0%EC%B4%88%EC%A0%84%EC%9E%90%ED%95%99%EC%8B%A4%ED%97%981/Decoder_and_Encoder.pdf3 ... 디코더 & 인코더1. ... 또한 입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의 입력변수로 된 최소항을 2^n개 이하의 출력으로 바꾸어 주는 역할을 한다.(2) 인코더인코더란 부호화되지 않는
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 제11장 조합논리 예비보고서
    디코더를 이용한 조합논리회로의 설계따라서 3 to 8 디코더와 4입력을 가진 OR게이트를 이용하여 과 같이가 2개인 74139소자를이용하여, active low 출력을 가진 3X8 ... 디코더를과 같이 만들 수 있다.그림 11-30. 74139소자를 이용한 3X8 디코더- 7-segment‘7-segment’란 7개의 획을 이용하여 0에서 9 사이의 숫자를 표현하는 ... 이와 같은 10진수를 표현한 암호를 ‘십진코드(decimal code)‘라 부른다. 3비트로 표현할 수 있는 사건수는2 ^{3}=8이고, 4비트로 표현할 수 있는 사건수는2 ^{4}
    리포트 | 13페이지 | 1,500원 | 등록일 2020.02.11
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 13주차 - 예비레포트
    조건 3(4) 조건 4(5) 조건 5(6) 조건 6(7) 조건 7(8) 조건 8(9) 조건 9(10) 조건 10⑨의 결과와 SN74LS48의 진리표 결과를 비교하시오.조건 1 ~ ... 모의실험(1) 모의실험 1 – BCD 7-segment 디코더 모의실험아래는 BCD 7-segment 디코더인 SN74LS48의 회로도이다. ... , 7세그먼트 디코더에서 나온 출력값들을 실제로 디스플레이에 보여주는 7세그먼트로 구성된다.2.
    리포트 | 19페이지 | 5,000원 | 등록일 2024.08.10
  • 결과보고서(5 복호기부호기)
    예를 들어, 8개의 병렬 라인으로 구성된 8-비트 버스는 한번에 한 바이트의 데이터를 전송할 수 있다. ... 로 도결 과 값입력출력D_{ 3}D _{2}D _{1}D _{0}AB000100001001010010100011앞서 예비 보고서에서 기술했듯이 부호기(인코더)는 복호기(디코더)의 ... 인코더는 한 입력단에 10진수나 8진수 등의 숫자를 나타내는 활성 입력이 들어오면 이를 2진수나 BCD와 같은 코드로 변환하여 출력시킨다.
    리포트 | 8페이지 | 2,000원 | 등록일 2020.10.14
  • 트랜스포머와 딥러닝
    단어에 대한 점수를 계산한다.- 점수는 Query 벡터와 Key 벡터의 내적을 계산하여 계산한다.(3) 단계-3- 단계2의 결과를 8로 나눈다.- 8이라는 값은 Key벡터의 사이즈인 ... 예를 들어 8개의 attention heads를 가지므로 각각의 인코더/디코더마다 이와 같은 8개의 셋을 갖게 된다.각각의 Query/Key/Value set는 랜덤으로 초기화된 다음 ... 따라서 트랜스포머에서는 여러개의 인코더를 사용할 수 있다.7) 디코더의 특징디코더도 인코더의 구조와 동일하다. 따라서 에텐션의 기능을 적극적으로 사용한다.
    리포트 | 11페이지 | 2,500원 | 등록일 2022.04.17 | 수정일 2022.04.21
  • 디지털공학개론(반가산기 전가산기, 고속가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 )
    또는 작을 때를 나타내는 디지털 출력을 제공하고 비교기 출력은 연산 증폭기, 비교기 IC를 이용하여 구성할 수 있고, 비교기 IC는 스위칭이 더 빠르고 잡음에 강하여 더욱 적합하다.8) ... 자체 내에 플립플롭과 같은 기억회로를 가지지 않는다.3. 불 대수를 사용한다.4. ... 디지털공학개론반가산기, 전가산기, 고속가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 회로를 각각 그리시오.반가산기, 전가산기, 고속가산기, 비교기, 디코더, 인코더,
    리포트 | 6페이지 | 8,000원 | 등록일 2021.11.29
  • 7. 논리함수와 게이트 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    이때의 입력 전압이 NAND 게이트의 최소 정격 전압이 된다.3. 2×4 디코더의 설계 및 특성 분석(A) 각 게이트들을 사용하여 만든 2×4 Thermometer to binary ... %EC%9D%B4%ED%8A%B8" \o "XOR 게이트" XOR 게이트의 출력을 NOT 게이트의 입력으로 연결, 입력값이 다르면 출력 0입력출력입력 A입력 B출력 X001010100111디코더 ... 실습 목적-여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다.3.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.10.02 | 수정일 2023.01.03
  • 아주대 논리회로실험 실험5 Decoder & Encoder 예비보고서
    회로 결선도- 실험1(2x4 Decoder), 실험2(BCD to Decimal Decoder)- 실험3(Encoder Excess-3 code), 실험4(8x3 Priority Encoder ... 입력이2 ^{n}개, 출력이 n개인 인코더를2 ^{n}-to-n 인코더라고 하고, 아래 Truth Table은 8-to-3 인코더의 입출력을 보여준다. ... 하는 논리회로로 10진수나 8진수의 입력을 받아 BCD, Excess-3와 같은 코드로 변환해주는 논리회로로 일반적으로 출력의 개수는 입력의 개수보다 작다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 논리회로실험 예비보고서5
    2진코드를 생성한다.위의 표는 8times3 Encoder의 진리표와 논리식을 나타내고 있다. 8times3 Encoder는2 ^{3}개의 입력과 3개의 출력을 갖고 있으며 출력은 ... n개의 입력변수로 된 최소항을2^n개 이하의 출력으로 바꾸어 준다.-3times8 Decoder Truth table위의 표는 3times8 Decoder의 진리표와 논리식을 나타내고 ... 있다. 3times8 Decoder는 3비트의 2진코드를2 ^{3}개의 서로 다른 정보로 바꾸어 주는 조합 논리회로로 3개의 입력변수로 된 최소항을2 ^{3}개 이하의 출력으로 바꿔준다
    리포트 | 10페이지 | 1,500원 | 등록일 2020.09.18
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    그리고 AND 게이트의 3,6,8,11번 핀을 각각 LED 1,2,3,4번에 연결한다. ... 디코더의 논리회로도와 진리표그림 3-2는 2-to-4 디코더의 또 다른 형태로 디코더이다. ... 실제로 회로 구성에 사용되는 디코더는 주로 그림 3-2와 같은 형태가 많다.림 3-2의 NAND 게이트를 사용한 디코더가 그림 3-1의 AND 게이트를 사용한 디코더에 비해 게이트를
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 7세그먼트 디코더 실험보고서
    [세그먼트 디코더의 회로도]3. ... 기초이론2.1 7세그먼트 표시기7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 7세그먼트 표시기는 막대모양의 LED 7개를 8자 모양으로 ... .3.2 BCD/7-세그먼트 디코더 드라이버 74LS47의 논리 심볼과 pin 구성도를 그려라.3.3 4진수/2진수(4-line to 2-line) 우선순위 인코더(큰 숫자가 우선순위가
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.26
  • 디지털회로실험 인코더와 디코더 결과보고서
    출력으로 나오는 a,b,c,d는 10진수/bcd 인코더 회로에서{bar{Y0}}~{bar{Y3}}이다. ... {bar{A0}}~{bar{A8}} 총 9개는 입력으로 들어가는 10진수 이고, 각각 1~9를 의미하며 0은 전부 (-)에 연결함으로써 표현가능하다. ... 인코더와 디코더학과전자공학과학년2학번조성명1.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.04.16
  • 충북대 기초회로실험 인코더와 디코더 회로 결과
    실험 8. ... _{0}},7= bar{A _{3}} A _{2} A _{1} A _{0},8=A _{3} bar{A _{2}} bar{A _{1}} bar{A _{0}},9=A _{3} bar{ ... 인코더와 디코더 회로(결과보고서)실험 결과(1) 다음 그림의 회로를 구성하고, 진리표를 구하여 표로부터D _{0}~D _{3}의 논리식을 AB로 나타내어라.ABD _{0}D _{1}
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.10.26
  • 광운대학교 전기공학실험 실험7. 디코더와 인코더 결과레포트 [참고용]
    더해서 디코더와 인코더의 원리와 구성방법을 실험을 통해 이해하고 이를 응용 및 설계 하여 실용적 목적 회로 구성에 대한 연습을 진행한다.3. ... 참고문헌- 디지털논리와 컴퓨터 설계 제 5판, CH3, 디코더, 인코더 참고.Enable, valid, combination logic design참고. ... 실험 명디코더와 인코더2. 실험 개요코드의 개념을 이해하고 이진코드와 BCD코드가 무엇인지 숙지한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대