• 통큰쿠폰이벤트-통합
  • 통합검색(62)
  • 리포트(61)
  • 시험자료(1)

"74LS138" 검색결과 41-60 / 62건

  • 인코더, 디코더
    이번실험에서는 인코더와 디코더의 결과를 74LS138(디코더)과 74LS147(인코더) IC를 통하여 동작특성을 살펴보았다. ... 변환된다.(7세그먼트 디코더)▣실험 부품 및 재료구분품명규격수량측정기 및 도구직류 안정화 전원장치DC 30V,3A2대로직프로브자작품1대브래드 보드WBU206/2081개실험 재료IC74LS321개IC74LS1471개IC74LS1381개LED램프FLV ... 그림 11-8은 10진-BCD 인코더 IC 74LS147을 이용한 10진 키(Key) 인코더 회로이다. 버튼 스위치 0~9를 각각 누른 때의 출력 특성을 표 11-6에 기입한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.07.01
  • 시계설계
    10등분하여 1Hz로 만들어 줌: 하나의 칩을 사용함으로써 1Hz의 펄스파를 얻을 수 있음초의 counting 에 사용됨c. 74LS47d. 74LS138- 1-of-8 Decoder ... 리스 탈하나의 칩에서 이루어 짐- 74LS390, 74LS904. ... -IC: 74SXX 사용 (LS)-74390을 통과할 때마다 1/100분주가 되어 74390 3개를 통과하면 10Hz의 주파수가 나온다.* 74LS390은 전체 주파수의 1/100을
    리포트 | 16페이지 | 2,000원 | 등록일 2009.06.24
  • TTL 디지털시계 제작
    1Hz의 펄스파를 얻을 수 있음초의 counting 에 사용됨c. 74LS47d. 74LS138- 1-of-8 Decoder- Demultiplexer- Demultiplexing ... , 74LS902. ... (DATA SHEETS)a. 74LS390:분주:10MHzn) >: 74LS390의 한 쪽에는 4개의 JK 플립플롭으로 구성되어 있다.: 74LS390의 양쪽에는5와 MR기능을 포함한다
    리포트 | 20페이지 | 2,500원 | 등록일 2009.02.05
  • VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    실 험 결 과 (Result) HYPERLINK \l "design1" 1) Design a 2x1 MUX HYPERLINK \l "design2" 2) Design 74LS138 ... 위의 나머지 과정들 또한 위 설명을 그대로 따라 가고 있음을 확인할 수 있다.2) Design 74LS138 1x8 DEMUX- Do a waveform simulationSource
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • 연세대 전기전자 기초실험 8. 조합 회로 설계 실험 (예비보고서)
    그 외에도 3 to 8 Decoder/Demultiplexer 인 74HC138, BCD-to-decimal Decoder/Driver 인 HD74LS42, Dual 2-to-4 line ... 유사한 칩으로 74LS48, 74LS49, 74LS246, 74LS247, 74LS248, 74LS249등이 있으며 이 칩들은 7-Segment가 어떤 타입인지 어떤 전압이 필요한지에 ... Decoders/Demultiplexers with Open Collector Out 인 HD74LS156등이 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.12.30
  • [논리회로실험] CMOS회로의 전기적 특성(예비)
    반대로 90%에서 10%로 내리기까지 필요한 시간을 하강시간(tTHL 또는 tfc)라고 합니다.(6) 정논리와 부논리, 엣지위 그림의 IC는 74LS138라는 TTL IC입니다. ... 마찬가지로 출력핀에도 ○표시가 있는데 이는 해당 핀이 부논리로 작동함을 의미합니다.위의 IC는 74LS74라는 IC입니다. CLOCK1,2핀에 삼각형모양의 표시가 있습니다. ... 이것은 펄스에 의한 동작을 의미하는 데 신호변화에 따라 다음과 같은 종류가 있습니다.즉, 74LS74의 경우 상승엣지이므로 해당 핀이 L에서 H로 변하는 순간 작동하게 됩니다.4.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.03.20
  • [마이크로프로세서]8051칩의 이해및 설명
    아래를 보면 우리는 디코더 칩을 볼수있다.74ls138, 3to8 line decoder 그리고 약간의 게이트를 사용하여서 i/o 디바이스에 제어신호를 제공해준다. i/o공간은 외부 ... 데이터 메모리와 어드레스 0x0000-0x7FFF를 사용한다. a15는 74ls138 출력을 이용할려면 low을 넣어주어야한다. a8-a10은 각각의 i/o위한 active low ... 때는 4개의 LS-TTL을 구동시킬 수 있다.
    리포트 | 28페이지 | 1,500원 | 등록일 2009.03.31
  • 적외선 송수신기를 이용한 음성 및 영상정보표시기 제작
    LP-701SS14002HD74HC573P 20PIN1HD74LS139P1NEC82C554AC-21HD74HC138P 16PIN1LM3862LGD95511LGD74LS3210423CH0081적외선 ... ECB보드의 메모리 맵에서 보는 것과 같이 복잡한 디코딩을 하기 위해서 전용 디코더 칩은 74HC138,74HC139 등이나 GAL, PAL 등을 쓴다.시리얼 인터페이스 : 8051에는 ... 재료목록품명규격수량단가금액ICTC9148 16PIN1TC9150 24PIN1NE555 8PIN2HD74LS00P 14PIN2AT89C51 40PIN1TD62703AP3AT28C641HM6264
    리포트 | 33페이지 | 3,000원 | 등록일 2008.12.19
  • 논리회로 실험 ALU,DECODER,7-SEGMENT 입니다.
    (WCN-0056SR-A11R)4.IN74LS138N (Decoder/Demultiplexer)실험자 : 전우성 (32052117)SN74LS47N (BCD TO 7-SEGMENT ... 논리회로 실험 레포트1.SN74LS47N (BCD TO 7-SEGMENT DECODER-DRIVER)2.SN74LS382N (ARITHMETIC LOGIC UNITS)ALU3.7-SEGMENT ... ALU(SN74LS382N) IC의 빈 배치도이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2007.09.28
  • 디코더를 이용한 조합논리
    . ● 실험기기 1 7408 AND 게이트 1 7474 플립플롭 1 74LS138 디코더 1 DIP 스위치 2 LED 빨간색, 노란색, 초록색 1 브레드보드 1 5V 직류전원전압장치
    리포트 | 17페이지 | 2,000원 | 등록일 2008.12.15
  • 성인간호학케이스-요추척추골절
    요추의 골절은 부위에 따라 흉추부(T1-Tl0), 흉·요추부(T11-L2) 및 하 요추부(L3-Ls)골절로 나눌 수 있다. 전체척추골절의 약50%, 척수손상의 약40%가 흉? ... 70-110정상보다 증가한 경우 당뇨병, 뇌졸중후심근경색, 급성열질환, 갑상선 기능항진증, 위절제, 임신, 요독증, 췌장질환등을 의심해 볼 수 있다.Sodium(Na)137.2(↓)138 ... 낮을 경우 골수에서 생산 저하(재생불량성 빈혈), 백혈병, 암전이, 자가면역질환, 다발성 골수종, 골수섬유증, 거대적아구성 빈혈 등을 의심해 볼 수 있다.Seg.neutrophil74증후군
    리포트 | 18페이지 | 1,000원 | 등록일 2013.10.03
  • 리눅스 명령어 정리
    전면 프로세스로 시작하기68 file 파일 종류 보기69 find 파일 찾기70 finger 사용자 정보 알기71 fold 정형화하기72 fmt 정형화하기73 for 반복 실행하기74 ... -al# ls -aC# ls -Rcd:디렉토리를 변경# cd cgi-bin : 하부 디렉토리인 cgi-bin으로 들어감# cd .. : 상위디렉토리로 이동# cd 또는 cd ~ : ... 매개변수보기133 mkdir 디렉토리 만들기134 mke2fs 파일 시스템 생성하기135 mkfs 파일 시스템 만들기136 mknod 특수 파일 만들기137 mkswap 스왑 영역 지정하기138
    리포트 | 8페이지 | 1,500원 | 등록일 2010.08.03
  • [정보통신]디지털 카운트 저금통
    메인부와 동전인식부 그리고 표시부 이렇게 세부분으로 나누어진다. 1)센스로 통해 인식 ---- 2)메인부 ---- 3)7세그먼트를 이용 금액표시3.주요 사용 부품1)메인부: 80C51,74LS573,74LS138 ... AT28C64 2)센서부: 74LS74,LM324,적외선 센서 3) 표시부: 7세그먼트,74LS744.동작설명적외선 센서를 사용하여 100원과500원을 구분하여 인식한 후, 메인부에서
    리포트 | 8페이지 | 3,000원 | 등록일 2006.05.09 | 수정일 2016.05.13
  • 제 8장 (예비) 조합 회로 설계 실험.hwp
    .- 74LS138 - LS는 저 전력을 의미하며 3 line to 8 line Decoder인데, 2진수 3자리 input으로 8개의 output line중 1개를 선택하게 해주는
    리포트 | 5페이지 | 1,500원 | 등록일 2007.10.11
  • 디코더와 엔코더예비레포트
    시판용으로 이용할 수 있는 MSI 3-to-8 디코더로 게이트-레벨 회로선도 및 기호를 그림 2에 나타냈으며 진리표는 표2에 주어졌다. 74LS138운 actuve-low 출력 그리고 ... 논리선도와 진리표를 보면 표1과 같다.그림1-1다음은 2입력4출력에대한 디코더의 진리표이다.입력출력EABabcd0xx0000*************01100100111100074LS138
    리포트 | 5페이지 | 1,000원 | 등록일 2007.04.03
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    Purpose of the Experiment이번 실험은 조합논리회로를 이용한 74LS138 decoder를 이해하고 설계하는 것이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • [기계]디지털 카운팅 저금통
    {{{{{{{센서를 통한 동전 인식메인부7세그먼트를 이용한 금액 표시1)주요 사용 부품메인부: 80C51,74LS573,74LS138,AT28C64센서부: 74LS74,LM324,적외선 ... 센서표시부: 7세그먼트,74LS742)동작설명적외선 센서를 사용하여 100원과500원을 구분하여 인식한 후, 메인부에 서 이 센서의 신호를 받아서 동전의 액수를 카운팅하여 7세그먼트에
    리포트 | 8페이지 | 2,000원 | 등록일 2003.12.11
  • 한국 중국 무역의 무역분쟁 사례
    이에 따라‘07년 현재 중국의 경제규모는 미국의 23.7%, 일본의 74.9%, 독일의 99.5%에 각각 달하며 1인당 GDP는 ’78년의 190달러에서 ‘07년에는 2,360달러로 ... 적발된 제품은 가짜 LG 상표를 부착한 에어컨 400여 대와 컬러TV 430여 대, 총 138만위안(약 1억7000만원)에 상당하는 제품으로 1.5t 트럭 27대 분의 사상 최대 규모이다 ... 중국의 한국제품의 지적재산권 침해 대표사례분 야피해업체주요 내용전 자삼성전자애니콜 휴대폰 도용생산LG전자에어콘 모방 도용생산대우일렉트릭DVD 플레이어 상표도용 및 불법수출산업기기LS산전차단기
    리포트 | 15페이지 | 1,000원 | 등록일 2008.10.29
  • 대퇴 전자부 골절 case study
    후 간호기대되는 검사 부작용검사결과 해석x-ray(chest AP, Hip AP (B), LS - Spine AP.Lat)? ... 사항작 성 일 : 2007. 10. 23작 성 자 : SN 강혜영, 노은영정보제공자 : 본인, 보호자성 명박OOUnit No.8234병 실82OO입 원 일07. 10. 19연 령74세성 ... 쿠싱병, 화상, 장협착, 열성상태검사명정상치검사 결과10/2310/24BST70 - 110mg/dl7A → 140 ▲11A → 235 ▲5P → 158 ▲11P → 286 ▲7A → 138
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.08
  • 디지털시계회로도2
    74LS47 (VCC 16, GND 8)● 74LS138 (VCC 16, GND 8) ● 74LS08 (VCC 14, GND 7) ● 74LS93 (VCC 5, GND 10) ■ ... ● 74LS04 (VCC 16, GND 8) ● 74LS86 (VCC 14, GND 7) ● 74LS390 (VCC 16, GND 8) ● 74LS90 (VCC 5, GND 10) ... (LS)10Hz 연결이 표기된 곳은 10Hz 출력으로 표기된 곳과 연결하시면 됩니다.IC가 다량으로 들어가므로 인해 잡음의 영향이 많습니다..각각의 IC 옆에 VCC, GND 사이에
    리포트 | 4페이지 | 1,000원 | 등록일 2001.11.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대