• 통큰쿠폰이벤트-통합
  • 통합검색(173)
  • 리포트(166)
  • 논문(4)
  • 자기소개서(2)
  • 이력서(1)

"8051신호등" 검색결과 41-60 / 173건

  • 8051이란?
    8051은 인텔에서 만든 8비트 마이크로컨트롤러이다. 8051은 일반 pc에 사용되는 8086, 80286, 80386, 80486, 펜티엄 등의 마이크로프로세서와는 다르게 각종 제어 ... 이와같이 CPU 내부 신호에는 소스 및 목적지 오퍼런드를 제어하는 신호와 산술논리 연산장치의 동작을 제어하는 신호 등이 있다.(2) 프로그램 카운터(PC : Program Counter ... 판단 등이 있다.8051의 중요한 특징의 하나로서, ALU에서 비트 처리 능력이 있다는 것이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2009.11.10
  • 모터제어
    .- PIC보다 처리속도가 빠르고, 8051보다 자원이 풍부하다는 특징이 있어 중소 규모의 산업용 제어기제작에 적합합니다.- RICS 구조의 고속 처리가 가능합니다. ... Perlego가 개발한 인텔 2816이 첫 제품이었다.UVEPROM이 자외선을 쏘며 내용을 지우는 반면 EEPROM은 전기적으로만 지울 수 있는 PROM으로 칩의 한 핀에 전기적 신호를 ... MICOM, CPLD 등의 디바이스도 흔히 내부에 EEPROM 이나 FLASH 등을 내장하고 있기 때문에, ISP가 자주 언급되작 원리는 보통의 플립플롭과 동일하다. 1비트당 소비전력은
    리포트 | 14페이지 | 1,000원 | 등록일 2015.12.10
  • `Serial 통신과 TCP/IP` 에 대해서 [레포트]
    ◈속도bps(bits per second) : 1초당 전송되는 비트의 수baud rate : 1초당 전송되는 변조된 신호의 수* 8051에서는 하나의 비트가 하나의 신호이므로 같은 ... 신호선▶DSR - Data Set Ready모뎀이 터미널에게 자신이 송수신 가능한 상태임을 알려주는 신호선▶DCD - Data Carrier Detect모뎀이 상대편 모뎀과 전화선등을 ... 단방향 전송 방식 - 라디오half duplex반이중 전송 방식(서로 다른 시간 양방향 전송 방식) - 무전기(full) duplex전이중 전송 방식(동시 양방향 전송 방식) - 8051
    리포트 | 8페이지 | 1,000원 | 등록일 2010.11.25
  • 공학컴퓨터응용 기초정리 및 레포트
    -마이크로프로세서의 종류(PCI/8051/AVR)AVR 이전에는 주로 8051을 사용했다. ... ◎칼라를 화면에 디스플레이하는 데 필요한 처리를 수행◎디지털 신호를 아날로그 비디오 신호로 바꿔 모니터 출력◎다양한 해상도 및 칼라를 제공함◎64비트 그래픽 카드 : 1280*1024 ... PIC와 8051은 CISC 구조로써 RISC 구조인 AVR보다 속도도 느리고, 컴파일러도 유료이며 가격도 비싸다.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.01
  • 결과보고서-Exp 7. Filter Design Using Microcontroller (2ndWeek).hwp
    또한 filter의 계수를 바꾸기 위해서는 모든 소자를 바꾸어야 하며 온도, 전압 등 외부요소에 따라 filter의 특성이 바뀐다. ... 신호와 원래 신호간의 중첩이 일어나는데 이것을 aliasing이라고 한다. ... the 8051’s low complexity and performance.
    리포트 | 21페이지 | 2,000원 | 등록일 2010.10.09
  • 8051의 타이머카운터 모듈 조사
    (카운터는 event를 세는 것이다)● 타이머가 입력신호로 클럭소스가 아니라 어떤 사건을 입력받을 때 이를 타이머라 한다.카운터의 값은 사건의 횟수를 나타낸다.● 8051에는 4가지 ... 동작 모드를 갖는 2개의 16비트 타이머/카운터가 있다.타이머/카운터는 1)시간측정, 2)사건 횟수, 3)직력포트의 보 레이트 생성등에 사용된다.TMOD 레지스터의 M1, M0 비트를 ... =0이면 스톱된다.TF(Timer overflow)비트는 타이머/카운터 레지스터가 오버플로가 되면 '1' 로 세트되며, 이때 인터럽트가 인에블 되어 있으면, 인터럽트를 요구하고, 8051
    리포트 | 9페이지 | 1,500원 | 등록일 2011.12.12
  • 89c51
    Counter) (3) 연산장치 (ALU: Arithmetic Logic Unit) (4) 누산기 (Accumulator) PSW (Program State Word) 많은 명령 등으로 ... P 3.7 RD (read) 외부 데이터 메모리 읽기 제어 신호 8051 의 핀구조(1) RESET : 2 개의 머신 사이클 동안 ‘H’ 가 되어 있어야 유효함 (2) ALE/PROG ... 외부 인터럽트 (1) 에지트리거 인터럽트 입력 - 입력신호의 하강 모서리에서 인터럽트 발생 (2) “L” 레벨 인터럽트 입력 - 입력신호의 “L” 레벨에서 인터럽트 발생 4.
    리포트 | 17페이지 | 7,200원 | 등록일 2009.05.25 | 수정일 2021.06.11
  • 17장 모터제어
    장점 : ① 피드백이 필요 없이 제어계가 단순② 디지털 신호로 제어하므로 마이크로 컴퓨터등의 정밀한 제어기에 사용③ 총 회전각은 입력 펄스수의 총수에 속도는 1초당 입력펄스 수에 비례④ ... 펄스 신호에 의한 stepping 모터의 1 step 이동 과정? Stepping motor의 특징? ... -ATMEL의 8051계열은 단시간 내 에 최소의 비용으로 개발하는데 매우 적 합함.-8051 Architecture-8-Bit ALU-Two 16-Bit Timer/Counters
    리포트 | 9페이지 | 1,000원 | 등록일 2011.07.29
  • [마이크로프로세서]8051칩의 이해및 설명
    )P3.7RD#(외부 데이터 메모리 읽기 스트로브 신호)* RESET8051에 대한 마스터 리셋 입력으로 액티브 HIGH 신호이다. ... 하지만 MCU 등에서 출력되는 전압의 레벨은 0~5볼트로 왔다갔다하기 때문에 직렬 통신을 할 수가 없다. ... MCU 등에서 만들어낸 직렬통신시그널이 MAX232칩을 통해 전압의 폭을 넓혀준 후에 송수신하게 된다.역으로 수신된 -10~10볼트 사이의 전압레벨을 다시 0~5볼트 사이의 전압레벨로
    리포트 | 28페이지 | 1,500원 | 등록일 2009.03.31
  • 8051 내부구조 및 핀별 기능
    이에 따라 8051은 /EA(Enable Address) 핀을 제공하여 내장된 프로그램 메모리(플래시 메모리나 EPROM 등)와 8051 외부에 인터페이스 된 프로그램 메모리를 선택하여 ... 출력으로사용시 각 핀은 8개의 TTL을 구동할 수 있다.⑤ 외부에 메모리(ROM, RAM)로 연결하였을 때는 어드레스 신호(address bus)와 데이터 신호(data bus)가 ... 이때 ALE 신호는 포트 0이 어드레스 버스 A0 ~A7로 동작하고 있다는 것을 외부에 알리는 신호이다.② 74LS573의 11번 핀 Latch Enable 단자로 입력되어 A/D라인을
    리포트 | 13페이지 | 1,000원 | 등록일 2009.05.08
  • RF통신을 이용한 RC카
    여기서 우리는 4개의 신호(전,후,좌,우)만을 사용하므로 D0~D3까지 만을 사용하였다.수신부 : RXD-315-KH수신부측은 RF모듈과 8051의 3번 포트를 연결하였다. 8051의 ... 송신 모듈은 8개의 접점 입력 신호를 받아 수신 모듈의 8개의 신호로 출력한다. ... 모형 자동차, 무선조정용 장난감 등을 비롯하여 여러 방면에서 가장 널리 사용되고 있는 모터이다.여기에 감속기가 달려있는 감속모터를 사용함으로써 속도를 낮추고 힘을 좀 더 강하게 하였다
    리포트 | 23페이지 | 5,000원 | 등록일 2009.12.08
  • 8051 인터럽트
    , 타이머/카운터, 시리얼 포트 등)하드웨어 부분▶ 외부 인터럽트는 /INTx핀을 통해 인터럽트를 요청 받는다.▶ 타이머/카운터 인터럽트는 타이머/카운터가 완료되었을 때 요청 받는다 ... 8051 인터럽트인터럽트의 개념CPU 내부 또는 외부의 요구에 의해서 정상적인 프로그램의 실행 순서를 변경하여 보다 시급한 작업(인터럽트서비스 루틴)을 먼저 수행한 후에 다시 원래의 ... 인터럽트 우선순위 제어 레지스터로 우선순위를 지정인터럽트 처리 절차8051의 인터럽트는 다음과 같은 순서로 실행된다.인터럽트 요청 요인 발생↓인터럽트 요청↓해당 인터럽트 인에이블 상태확인
    리포트 | 5페이지 | 2,000원 | 등록일 2009.09.22
  • [AVR/MCU] 8051칩을 이용해 시계/타이머 제작 프로젝트[소스코드 해석]
    AVR과 비슷한 마이크로프로세서8051의 한 종류인 89S51이란 칩을 가지고 시계와 타이머를 제작하려고 한다. ... 89S51AT89S51은 4K바이트의 플래쉬 메모리, 128바이트의 내부RAM, 4개의 I/O 포트, 2개의 16bit 타이머/카운터, 5개의 인터럽트 소스, 전 이중의 시리얼 포트, 워치독 타이머 등을 ... 그리고 Low 신호는 저항쪽으로 흐르게 된다.
    리포트 | 25페이지 | 3,000원 | 등록일 2012.11.16
  • 결과보고서-Exp 6. Filter Design Using Microcontroller (1st Week).hwp
    실제로 이 코드는 특정 시간의 입력신호의 값을 읽는 내용이기에 입력 신호의 주파수와 상관없이 동작은 하지만, 원래 신호를 복원하기 위한 주파수의 한계는 Nyquist rate를 따라야 ... 소수 자리수는 두 수의 소수 부분 자리수를 더한 것과 같다.■ 정수라는 것은 소수 부분이 없다(4.0고정 소수점).32비트 환경이라면 일반적으로 16.16을 사용하겠지만 오버플로우 등을 ... to design simple FIR filters using A/D, D/A converter on the COMPACT51 board which is based on the 8051
    리포트 | 18페이지 | 2,000원 | 등록일 2010.10.09
  • 한국경제의 고도성장의 원동력!과연 무엇일까? - [미국의 원조인가? 박정희 대통령의 리더십(대한민국 자주적 경제개발)인가?]
    이 시기의 원조는 재난에 대처하기 위한 긴급구를 통해 국내시장의 인프라를 어느 정도 구축해 산업화를 시작하는 신호탄을 쏘았으니, 수출주도형공업화에서는 이렇게 형성된 시장을 시장 발전을 ... 구축 계획하지만 다들 한국경제가 제철사업을 감당할 능력이 없다고 주장 그러나 박정희 대통령은 포기하지 않았고 일본이 주기로 한 청구권 자금 중 일부를 농업용수 개발이나 다리 건설 등에 ... 초대회장으로는 삼성의 이병철회장이 임명되었으며 대한민국의 경제재건에 힘쓰는 단체로 활동하였다.그 결과 울산공업단지, 구로동 한국수출단지 조성등 국가의 재건 기반을 만드는데 힘을 썼고
    리포트 | 16페이지 | 1,500원 | 등록일 2014.03.10
  • 8051을 이용한 DTMF신호처리 텀프로젝트
    *8051을 이용한 DTMF신호처리개요- 8051칩(CPU)의 I/O, 타이머, 인터럽트 컨트롤, Serial 통신 등의 기능을 활용한 Boad를 제작하여라.계획- 먼저 8051칩을 ... 이 과제를 수행함으로써 8051칩의 I/O, 인터럽트 컨트롤, Serial 통신 등의 기능 더 나아가 DTMF신호의 활용까지도 생각해 볼 수 있습니다.세부사항- 89C52칩을 간단히 ... 설명하면 8051과 모든것이 호환 되는 모델이고 메모 리 맵도 같습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2008.06.23
  • 8051 디지털시계 제작
    8051 디지털시계 제작- 실험 결과보고서 -Ⅰ. 디지털시계의 개요ⅰ. 개요A. 디지털 vs 아날로그- 디지털은 손가락이란 뜻의 라틴어 디지트 (digit)에서 온 말이다. ... 더구나 요즈음 모든 매체가 디지털화 되는 것에 심한 거부감을 가지는 사람은 아날로그 방식을 선호하여 진공관식 레코드 플레이어 등에 집착하기도 한다.아날로그란 말은 디지털이 음성신호를 ... 디지털이단 소리도 001010, 그림도 0100010, 문자도 001011010 등 모든 정보를 0과 1로 된 숫자로 바꿔서 저장, 재생되는 것을 말한다.소리 빛 전기 등의 파장을
    리포트 | 20페이지 | 3,000원 | 등록일 2012.07.03
  • 음성인식엘리베이터설계
    통해 출력값을 표시함으로써 음성을 올바르게 인식했는지 확인.(4) MCU 8051마이크로프로세서 수업을 통하여 익히 알고 있는 8051을 통하여 모터제어와 음성인식제어를 한다. 8051의 ... , 퍼텐시오미터)가 불필요하여 제어가 쉽다.- 디지털 신호형태로 직접 제어하므로 마이크로프로세서에 접속이 용이하다.- 회전 오차 각이 누적되지 않는다.- 정지할 때 큰 유지 토크(정지 ... 또한 아래와 같은 장단점을 가지고 있기 때문에 모터의 특징을 잘 파악해서 설계해야 한다.장점:- 펄스 신호에 따라 고정밀도로 정해진 각도까지 회전시켜 정지가 가능 하므로 궤환소자(엔코더
    리포트 | 17페이지 | 2,000원 | 등록일 2009.09.07
  • AND,OR,NOT 게이트 예비보고서
    입력단자와 같거나 반대인 신호가 출력된다.가장 기본적인 논리에는 부정(NOT), 논리합(OR), 논리곱(AND)이 있고 기타 부정 논리곱(NAND), 부정 논리합(NOR), 등 여러 ... Ch22) 디지털 논리회로 설계 – Verilog 기초, (김종수 외 6명), Ch23) 마이크로프로세서 응용로봇제작 8051, (정상봉 외 3명), 2장4) http://vhdlteacher.com ... 여기서는 가장 기본이 되는 위의 논리 게이트에 대하여 하나씩 기능과 표기법 등을 설명한다.IC 논리로 가장 보편적으로 사용되는 IC는 TTL(Transistor and Transistor
    리포트 | 10페이지 | 2,000원 | 등록일 2011.11.21 | 수정일 2013.11.18
  • ATMEGA8535를 이용한 라인트레이서 만들기(회로, 소스 포함)
    자원이 풍부하다는 특징이 있어 중소 규모의 산업용 제어기제작에적합.- 풍부한 내부 자원(SRAM, 통신포트, A/D변환기, Watchdog, 타이머, PWM, I/O포트 등) 구비 ... 관련이론2.1.1) 하드웨어 관련① Atmega 8535- AVR은 Atmel사에서 만든 RISC 타입의 고속 8비트 마이크로컨트롤러- AVR의 장점은 PIC보다 처리속도가 빠르고 8051보다 ... 16v 정도의 전원을 5v로 바꾸어서 항상 일정한 전압이 흐르게 하는 전자부품- 레귤레이터는 열이 많이 발생하므로 방열판을 함께 사용하시면효과적⑧ BUZZER(SM-1205C)- 신호용으로
    리포트 | 17페이지 | 2,500원 | 등록일 2013.02.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대