• 통큰쿠폰이벤트-통합
  • 통합검색(215)
  • 리포트(209)
  • 논문(4)
  • 자기소개서(1)
  • 시험자료(1)

"8051 타이머" 검색결과 41-60 / 215건

  • 결과-타이머실험
    }}★고찰이번 실험은 8051 포트를 이용한 타이머 인터럽트의 사용방법과 관련 이론을 알아보고, 회로를 구성한 후, 실제 8051의 18번 핀에서 나오는 파형을 오실로스코프로 관찰해보는 ... ★실험장비(1)필요장비:브레드보드, 직류전원(5V), 전압계, 니퍼, 롱노우즈 플라이어, 8051 SE516SP보드, 오실로스코프(2)필요부품: AT89C2051, 오실레이터, 90pF ... ★타이머실험★실험목적- AT89C2051의 타이머 인터럽트 사용방법과 관련 이론 등을 숙지해본다.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.05.24
  • 혼자서도 할 수 있는 8051 Tic tac toe
    인코더로 입력을 받아 입력 받은 위치에 따라그에 맞는 이진수를 입력 받는다.테스트용 LED 회로8051 내부적으로 카운팅을 하여 디코더와 연결, 최종적으로는 잔상 효과로 인해 모두 ... MCU를 사용하는 첫 번째 텀 프로젝트인 만큼 8051의 기능과 어셈블리어의 개념, AI의 개념을 익히는 것을 주목적으로 제작한다.회로도 및 사용 포트회로도KUT-51도트 매트릭스스위치우선순위 ... 작품 선정에 있어서도 어려움이 많았다. 8051과 어셈블리 어를 이용한 작품 제작은 여러 가지 제약은 많지만 대부분 가능한 작품들이 많다는 것을 알았고 차근차근 자료를 조사해가며 내가
    리포트 | 41페이지 | 3,000원 | 등록일 2014.01.16 | 수정일 2018.10.29
  • 디지털 도어락 제작(전자/전기과 실습)
    특히 도어락에 사용되는 8051타이머/카운터의 사용과 모드에서의 인터럽트 처리 루틴에 대해 확실히 공부하도록 한다.1. ... 수업 시간에 실습한 내용(타이머와 인터럽트 등)을 응용하고 C-프로그래밍에 대한 기본 지식을 바탕으로 8051 계열인 AT89S51을 사용하여 디지털 도어락을 제작해 봄으로서 실습한 ... [디지털 도어락 제작]※ 제작 목표실험(4) 시간에 8051에 대해 공부하고 Trainning Board로 실습해본 바를 바탕으로 이를 응용하여 간단한 작품을 제작한다.
    리포트 | 19페이지 | 3,000원 | 등록일 2012.11.05
  • 마이크로 컨트롤러(MCU) 중 8051 조사
    하드웨어에 의존하는 부분을 소프트웨어로 처리할 수 있게 되므로 기능의 변경이나 확장에 보다 유연하게 대응할 수 있다.▶ 현재 직접 실험하고 있는 8051 MCU의 쓰임8051chip은 ... MCU의 특징- 8비트 1칩 마이크로 컴퓨터(내부에 메모리와 입출력 포트, 타이머/카운터, 시리얼 포트를 가지고 있는 마이크로 컨트롤러이다.) ... .* MCU의 특징- 주변장치들을 감지 및 제어하기 위한 입출력기능이 강화- 타이머/카운터, 통신포트 내장 및 인터럽트처리능력 보유- 비트 조작 능력이 강화- 제품의 소형화 및 경량화
    리포트 | 2페이지 | 1,000원 | 등록일 2013.03.25
  • 8051프로세서를 이용한 자판기
    여기에 추가로 사람을 인식하고 20초간 아무 이벤트가 발생하지 않았을 경우 모든 동작을 비활성화 하는 것을 구현하려고 시도 했지만 타이머 인터럽트 처리에 대한 이해 부족과 단위 시간을 ... 추출 하였음에도 불구하고 그것을 동적으로 연동시키는 것에서 더이상 진행이 되지 않아 끝내 구현에는 실패 하였다.∙ 개발과정 중 8051의 세부적인 특징을 이해하지 못하여 사용 전압의 ... 더불어 주제 특성상 8051을 이용하여 하드웨어 장치인 Vending Machine을 제어하는 것이기 때문에 이를 제작하기 위한 소요도 상당하였으며 비중도 소프트웨어 못지않게 중요
    리포트 | 8페이지 | 4,000원 | 등록일 2013.06.28
  • 실험4 프로젝트 보고서
    프로젝트 목표---------------------------- 2ⅰ. 12시간 초 단위 표시 시계ⅱ. 99분까지 측정되는 1/100 초 단위 스톱워치 타이머ⅲ. ... ISP 인터페이스로 8051를 구울 수 있는데, 이때 ISP의 핀의 6개의 핀을 연결한다. ... 프로젝트 목표이 프로젝트인 8051 디지털시계는 J1, J2 는 아래 4가지 동작 모드 각 작동하는 디지털시계이다.
    리포트 | 26페이지 | 2,500원 | 등록일 2015.12.25
  • 실험4 프로젝트 소스분석 C++ 8051 코드만 분석한 자료
    // 8051 의 인터럽트 번호 정의된 파일☞ 헤더 파일을 불러와 포함시킨다. sfr.h에는 8051프로세서의 SFR(특수 기능 레지스터)에 대한 정의 내용, os.h에는 8051프로세서의 ... tmod = 0x02; // Auto Reload Mode_th0 = 0x06; // 250 uSec 인터럽트 주기_tr0 = 1; //Timer 0 동작시작_et0 = 1; // 타이머 ... 8051프로세서 소스 코드 분석/////////////////////////////////////////////////#include // 8051 의 SFR 이 정의된 파일#include
    리포트 | 12페이지 | 2,500원 | 등록일 2014.12.16 | 수정일 2015.10.31
  • 디지털시계(타이머 카운터) 예비 보고서
    따른 처리를 하기 위해 사용됩니다. 8051은 두 개의 16비트 타이머/카운터를 내장하고 있으며 8052는 세 개의 타이머/카운터를 가지고 있습니다. 8051타이머/카운터는 내부나 ... 주기적인 신호를 받아 카운팅 하는 경우 타이머로 동작한다고 하며 비주기적인 신호를 받아 카운팅 하는 경우 카운터로서 동작한다고 말합니다. 8051 타이머/카운터는 여러가지 동작 모드를 ... 디지털시계(타이머/카운터)□ 타이머/카운터가 와 타이머/카운터모드(0, 1, 2, 3)타이머/카운터는 주기적인 작업의 발생과 특정사건에 대한 시간측정, 외부로부터 발생한 이벤트 횟수에
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 실험4 마이크로컨트롤러 Internal Memory의 이해 예비보고서
    관련 14개 (타이머 0(2개), 타이머 1(5개), 타이머 2(2개), 타이머 3(5개))- UART 관련 6개 (USART0(3개), USART1(3개))- 기타 6개③ 모든 ... BCD 연산에 사용하며 8051 PSW레지스터의 AC에 해당)SSign Bit (플랙 N과 V의 XOR(exclusive OR)값으로 정수들의 크기를 판단)V2's Complement ... ATMega128 인터럽트1) ATMega128 인터럽트① 차단 가능한 외부 인터럽트.② 리셋 포함 총 35개의 인터럽트 벡터를 가짐- 리셋 1개- 외부핀을 통한 외부 인터럽트 8개- 타이머
    리포트 | 12페이지 | 1,500원 | 등록일 2015.09.15
  • 인터럽트를 이용한 직렬통신 결과 보고서 (1)
    실험조건의 보드레이트는 4800bps 이므로 타이머1의 출력 주파수는이 되어야 합니다. 8051에서 1번의 오버플로가 발생시 걸리는 시간의 식은이며는 틱의 수 이며는 시간 입니다. ... 통신모드1은 가장 많이 사용하는 UART 모드로서 그림과 같이 타이머/카운터1의 오버플로 출력 펄스를 보드레이트 발생기의 입력으로 사용하기 때문에 타이머1을 사용하는 것 입니다. ... TR1은 1로 설정하여 타이머동작을 On 해주었습니다. 다음으로 TH1 설정입니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 디지털시계(인터럽트) 예비 보고서
    /카운터 0TF0000BH2외부 인터럽트 1 (INT1)IE10013H3타이머/카운터 1TF10018H4직렬 인터럽트RI+TI0023H보다 낮다8051은 기본적으로 5종류의 인터럽트 ... 하지만 비트가 1이 되더라도 인터럽트 루틴을 실행하지 않게 만들 수는 있습니다.8051의 인터럽트는 두가지 레벨의 우선순위를 갖습니다. ... 디지털시계(인터럽트)□ 인터럽트, 8051의 인터럽트 종류와 우선순위인터럽트는 컴퓨터에 장착된 장치나 컴퓨터 내의 프로그램으로부터 오는 신호로서, 운영체제가 하던 일을 멈추고 다음에
    리포트 | 3페이지 | 2,000원 | 등록일 2012.06.26
  • 프로젝트보고서
    개요8051프로세서인 89S51을 이용하여 4가지 모드를 갖는 시계 및 타이머를 제작한다. 제작은 키트를 이용하여 미리 코드를 칩에 내장되어 있는 상태이다. ... 이번 프로젝트에서 사용되는 주요 기능을 살펴보면 다음과 같다.- 2개의 16bit 타이머/카운터- 5개의 인터럽트 소스- 3개의 포트*Port 0 : 8bit 입출력 단자로 사용된다 ... 코드해석주어진 이 코드는 4 가9분까지 측정되는 1/100 초 단위 스톱워치 타이머3: 펄스 업/다운 카운터4: 엔코더 AB 상 신호 카운터전원 공급 후 J1, J2 의 점퍼 핀 상태에
    리포트 | 19페이지 | 3,500원 | 등록일 2013.03.09
  • 제어계측공학과 졸업작품 『라인트레이서』
    ,16비트 타이머,위치독 타이머,UART 또는 USART, SPI, TWI, 아날로그 비교기, 10비트 A/D컨버터, PWM 출력, RTC,Brown Out Detector 등 매우 ... 1976년 인텔에서 MCS-48 시리즈를 발표하고 1980년에 MCS-51시리즈를 발표함으로써 마이크로컨트롤러는 실무적인 제어응용 분야에 커다란 기술영역을 형성하였으며, 8051로 ... 메모리도 많이 필요로 하지 않습니다.입력(라인을 감지할 수 있는 센서 입력(2개)와 출력(바퀴를 돌리기 위한 모터제어) 기술이 필요합니다.작품에서 사용한 Microprocessor는 8051
    논문 | 36페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 인터럽트를 이용한 직렬통신 예비 보고서
    통신모드1은 가장 많이 사용하는 UART 모드로서 타이머/카운터1의 오버플로 출력 펄스를 보드레이트니다. ... 보드레이트 생성의 기준 클록으로 사용되는 타이머/카운터1의 오버플로 출력펄스나 보드레이트 생성의 기준 클록을 내부 클록으로 사용할 경우 분주된 내부 클록을 다시 2분주할 것인지를 설정하는 ... GF1FG0PDIDL(87H)* D1과 D0는 CHMOS에 해당하는 8xC51BH에 해당하는 절약모드에 관한 비트입니다.SMOD----통신모드 1, 2, 3에서 시리얼포트의 기준클록 입력소스인 타이머
    리포트 | 4페이지 | 2,000원 | 등록일 2012.06.26
  • avr이란
    다양한 주변장치와의 특성을 가진다.AT90S8535의 경우 별도의 프리스케일러가 있는 2개의 8비트 타이머/카운터와 1개의 16비트 타이머/카 운터를 내장하고 있다.8, 9, 10비트의 ... RISC 구조를 가지고 있으며 대부분 단일 사이클 명령을 실행할 수 있다.같은 클록으로 동장하는 PCI보다는 약 4배 정도 빠르고 8051보다는 10배 정도 빠르다.3. ... 미국의 ATMEL사에서 제공하는 CPU로서 RISC(Reduced Instruction Set Computer) 타입의 고속 8비트 마이크로컨트롤러이다.PCI보다 속도가 빠르고 8051보다
    리포트 | 4페이지 | 2,000원 | 등록일 2012.06.23 | 수정일 2024.05.21
  • 디지털시계(타이머 카운터) 결과 보고서
    바꾸면 어떤 결과가 나오는지 생각해보고 설명하시오.void Timer0_Int_ISR(void) interrupt 1“interrupt n“ 에서 n은 인터럽트 번호를 말합니다. 8051은 ... 8비트 Auto-Reload 모드의 external control 카운터타이머 1 : 16비트 타이머 모드의 internal control 타이머타이머0을 8비트 Auto-Reload ... 비주기적인 신호인 외부 클록 입력을 사용 하므로 C/T는 “1” 이 됩니다.타이머1을 16비트 타이머 모드의 Internal control 타이머로 설정 → 10H- 타이머 1은 TMOD
    리포트 | 1페이지 | 2,000원 | 등록일 2012.06.26
  • 전자회로실험및설계 : MCU 예비보고서
    그 외에도 128Byte의 RAM, 4KB의 프로그램을 위한 ROM, 4Byte 양방향 입출력 포트, 시리얼 포트(UART), 2개의 16bit 카운터/타이머 등이 있다.8051의 ... 사용할 AT89S52 4.0V에서 5.5V 사이의 전압에서 동작하고, 8KB의 프로그램 ROM, 256Byte의 RAM, 4개의 8bit 입출력 포트, 3개의 16bit 카운터/타이머 ... 이런 특징으로 8051이 산업에서 인기를 끌게 되었다.8051 아키텍처를 사용하는 Atmel의 MCU는 AT89C2051, AT89C51, AT89S51, AT89C52, AT89S52
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • 외부 입력신호의 주파수 측정 예비 보고서
    주파수를 구하는 방법은 먼저 1초에 CLK555에서 발생되는 overflow의 횟수를 카운터로 셉니다. 8051의 내부클럭을 이용해 1초를 만들기 위해서는 114번의 overflow가 ... 타이머0과 1을 동작 을 제어해 주기 위해서 TR과 TR0 를 1로 설정합니다.- TMOD : TMOD 레지스터는 타이머/카운터0, 1의 입력 클럭을 결정하고 4가지 모드 설정과 타이머 ... 타이머/카운터1 인터럽트는 내부 로부터의 클록을 입력받아야 하므로 C/T비트를 0으로 설정해주고 타이머/카운터0은 1로 설정해 줍니 다.
    리포트 | 1페이지 | 2,000원 | 등록일 2012.06.26
  • <전기전자공학실험> 모터제어(DC모터,스테핑모터,AVR)
    AT90S8535의 경우 별도의 프리스케일러가 있는 2개의 8비트 타이머/카운터와 1개의 16비 트 타이머/카운터를 내장하고 있다. 8, 9, 10비트의 PWM 기능을 가지고, 비교 ... 미국의 ATMEL사에서 제공하는 CPU로서 RISC(Reduced Instruction Set Computer) 타입의 고속 8비트 마이크로컨트롤러이다.PCI보다 속도가 빠르고 8051보다 ... A/D 변환기, 아날로그 비교기, Watchdog 타이머, 직렬통신포트 등을 가진다.* AVR의 종류 *AVR은 서로 다른 응용 분야에 사용될 수 있도록 기능 및 성능에 따라 크게
    리포트 | 9페이지 | 1,000원 | 등록일 2014.06.26
  • 타이머 카운터 프로젝트 보고서
    일단 TMOD와 THx 값이 초기화 되면 정확한 주기로 만들어 지기 때문에 이 방식은 매우 편리하고 정확하다.회로도소스분석#include // 8051 의 SFR 이 정의된 파일# ... include // 8051 의 인터럽트 번호 정의된 파일/////////////////////////////////////////////////////////////_sfrbit ... 타이머 값의 하위 레지스터 TLx는 타이머 값의 상위 레지스터 THx가 오토-리로드 값을 가지고 있는 동안에 8비트 타이머/카운터처럼 동작한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2012.04.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:54 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대