• 통큰쿠폰이벤트-통합
  • 통합검색(235)
  • 리포트(230)
  • 자기소개서(4)
  • 시험자료(1)

"AND 연산회로 실습" 검색결과 41-60 / 235건

  • [A+] 중앙대 아날로그 및 디지털회로 설계실습7 논리함수와 게이트 예비보고서
    아날로그 및 디지털 회로 설계 실습-실습 7 예비보고서-논리함수와 게이트학 과 : 전자전기공학부담당 교수님 : XXX 교수님제출일 : 2020.11.XX(X)조 : X요일 X조학번 ... 게이트의 기능을 갖는 회로도를 그리고, XNOR (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도를 설계한다.NAND 게이트입력출력입력 ... 설계실습 계획서7-3-1 XNOR 게이트 설계 및 특정 분석입력출력입력 A입력 B출력 X001011101110(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.06
  • [A+]중앙대 마이크로프로세서응용회로설계실습 과제 FND (6주차)
    마이크로프로세서 응용회로설계실습6주차 과제a. fnd_hexa_number()의 비트연산이 어떤 역할을 하는가? ... fnd_hexa_number()의 비트 연산인 number & 0xF는 number의 숫자를 2진수 00001111과 and 연산 해서 number의 2진수 형태에서 뒤의 4자리만을 ... 예를 들어서 0x37 & 0xF 연산을 하면 결과는 0x7이 나온다.b. logic()에서, 입력받은 값에서 ‘0’을 빼는 이유는 무엇인가?
    리포트 | 6페이지 | 1,000원 | 등록일 2021.10.09
  • 아날로그및디지털회로설계실습 논리함수와게이트
    아날로그 및 디지털회로 설계실습예비 REPORT7. ... 설계실습 계획서1-3-1 XNOR 게이트 설계 및 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR ... (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도롤 설계한다.XNOR 진리표입력출력ABY001010100111NAND gateNOR
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • 실습 7. 논리함수와 게이트 예비보고서
    설계실습 계획서7-3-1 XNOR 게이트 설계 및 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR ... (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 케이트로 XNOR의 회로도를 설계한다.차례대로 NAND, NOR, XOR 게이트XNOR (Exclusive ... 실습 준비물부품스위치 : 2개AND gate 74HC08 : 2개OR gate 74HC32 : 1개Inverter 74HC04 : 2개NAND gate 74HC00 : 1개NOR gate
    리포트 | 5페이지 | 2,000원 | 등록일 2022.09.19
  • 아주대학교 논리회로실험 / 1번 실험 예비보고서
    이는 드모르간의 법칙을 통해 논리회로에도 적용할 수 있다. 합집합을 OR 연산자로, 교집합을 AND 연산자로 나타낸다.Boolean Equation불 대수를 이용한 방정식이다. ... 임석구 외 1인 공저, 『디지털 논리회로 (이론, 실습, 시뮬레이션)』, 제 3판, 2015? ... 참, 거짓 두 값을 1과 0 또는 특정 기호로 나타내는데 AND, OR, NOT 등의 여러 연산자를 사용한다.AND Gate (A BULLET B=C)INPUTOUTPUTABCLLLLHLHLLHHHOR
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 시립대 전전설2 Velilog 결과리포트 3주차
    Gate- 출력은 논리 입력의 곱과 같음5) NAND Gate- AND 게이트와 NOT 연산을 조합한 결과6) NOR Gate- OR 게이트와 NOT 연산을 조합한 결과3. ... Verilog HDL 실습 3주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록1 ... 결론행위연산자 모델링이 무엇인지, 게이트 프리미티브 모델링이 무엇인지 정확하게 알 수 있는 계기가 되었고, Instance를 하는 방법을 알게 되었다.간단한 회로에서는 게이트 프리미티브
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 서울시립대학교 전전설2 1주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    LED 데이터 시트(5) 반가산기AND와 XOR을 활용해 1비트 가산이 가능한 형태이다.하지만, 이 반가산기 모델은 올림(전가산기의 Cin 부분)의 연산이 불가능해서 대수의 연산이 ... 것이다.2) 실습 2, 실습 3OR Gate, XOR Gate 두 회로 모두 진리표와 동일한 결과값을 도출해낼 수 있었다.3) 실습 4이 실습은 XOR Gate, AND Gate를 ... 이전에 마이크로프로세서에서 배우긴 했지만, 이번 실습에서 combo Box를 보니 어떤 식으로 순차 회로를 설계하는지 알 수 있었다.
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    (핀은 and게이트 실습과 같은 Button SW와 LED를 사용)- 비트 단위 연산자- gate primitive modeling- behavioral modeling[실습 5] ... [실습 3] 행위수준 모델링을 이용하여 2-input AND 게이트 설계를 진행하시오. ... 실험목적Verilog HDL의 기본 사용법을 익히고 비트 단위 연산자, gate primitive, behavioral modeling 등 논리회로를 설계하는 다양한 방법론을 학습한다
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • PLC와 RELAY의 차이점에 관한 레포트
    릴레이(AND, OR, NOT)? 업다운 카운터? 산술연산, 논리연산? 전송기능? 높은 자율성? 릴레이(직/병렬 AND, OR)? 타이머? 단순한 프리셋 카운터경제성? 저렴하다? ... REPORT현장제어기기운영 실습“ PLC에 대하여 ”1. ... 자기유지회로 작성 (LS산전 GLOFA PLC 시뮬레이터)3. PLC의 출현 배경을 작성하시오.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.10.09
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    A y=00101+00001=0011040~50ns (s=100, AND) : y=a and b -> y=00101 and 01100=0010050~60ns (s=101, OR) : ... 논리회로설계 실험 결과보고서 #3실험 3. 비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. ... XOR) : y=a xor b -> y=00101 xor 01100=0100170~80ns (s=111, NOT) : y=a -> y=not 00101=11010시뮬레이션 결과 연산
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 연산증폭기 제작 (기초공학실습, KAIST)
    실습제목: 연산 증폭기 구현1. AIM OF EXPERIMENT1. ... DISCUSSION & CONCLUSION1)회로1두 개의 OP-AMP 모두 negative feedback 되어 있다. ... 연산증폭기의 반정 증폭, D/A Converter, 덧셈회로와 같은 기본적 동작을 공부한다.2. 2개의 입력을 갖는 연산증폭기를 이용한 덧셈회로를 제작하고 실험해본다.2.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.31
  • Full adder VHDL 실습보고서(전가산기)
    1.목적(Purpose)이번실습에서는 4 bit Full adder(4비트 전가산기)와 Subtractor(감산기)를 직접 VHDL코딩을 통해 구현하는 실습이다. ... Source & Results1) VHDL Source1-1)Full adder그림4. Full adder 코드Full_adder 모듈입니다. ... 처음에 들어오는 carryin과 m의 xor 연산은 2’s complement를 만들 때 마지막에 더해주는 1의 역할이 된다.그림3. 4bit adder/subtractor논리회로도6
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 전자전기컴퓨터설계실험2(전전설2) (1) TTL Gates Lab on Breadboard
    Adder가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다. ... [사진 18] OR 게이트 실습 회로 구성2.2. XOR Gate(1)XOR 게이트 실습에서는 [사진 23]과 같이 회로를 구성한다. ... OR Gate(1)OR 게이트 실습에서는 [사진 18]과 같이 회로를 구성한다.
    리포트 | 19페이지 | 2,000원 | 등록일 2019.10.06 | 수정일 2021.04.29
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    위 식을 회로로 그리면 는 4단계 AND-OR-AND-OR 게이트 회로로 나타내어진다. ... 디지털 논리회로 [ModelSim을 이용한 VHDL 실습 과제]실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형을 구하고 ... 만약 입력되는 2단 AND-OR회로에서 입력되는 변수의 글리치를 무시할 수 있다면 첫번째 시뮬레이션과 같은 결과가 나올 것이다.실제 회로였다면 게이트의 전파지연(propagation
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 디지털공학 레포트 모음
    AND와 NOT게이트들을 사용하여 4X2 line Decoder에 관한 논리 회로다. ... 기능 - 4칙 연산2) 기억 기능 - 현재의 논리값 유지3) 비교 기능 - 두 개의 양을 비교하여 대소를 판별4) 인코딩 기능 - 인간의 사용언어를 디지털 전자회로의 언어로 변환5 ... 이 때 선들은 high 상태에서 활성이다.만약 AND 대신에 NAND 게이트를 사용하면, 출력선들이 low 상태에서 활성인 회로를 구현할 수 있다.● 7-segment LED- 7세그먼트는
    리포트 | 98페이지 | 5,000원 | 등록일 2021.05.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    시뮬레이션 결과 설계한 4비트 병렬 데이터 저장/전송 회로의 동작을 확인하는 모습(2) [실습 2] 실습1의 로직에서 아래와 같이 coding을 바꾸면 어떤 동작이 일어나는지 실험하고 ... Materials and Methods가. 실험 장비HBE Combo-II SE3. ... , 주파수 분주기, 타이밍 제어신호 생성 등에 활용.- 동기식 계수기는 모든 플립플롭이 공통 클럭에 의하여 구동되어 설계가 용이하고 동작이 빠름.- Verilog HDL의 +/- 연산자를
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • 11. 카운터 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    따라서 1010 이 되는 순간 1 이 되는 Q4, Q2 Vdd 와 AND 연산 후 CLR 단자에 연결시켜주었다. ... 실습 활용 방안- 비동기 카운터 회로와 동기 카운터 회로에 대해 실습을 진행하였다. ... 실습 준비실습 준비물부품JK Flip Flop 74HC73NAND gate 74HC00NOR gate 74HC02AND gate 74HC08OR gate 74HC32LED BL-R2131H
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 정실, 정보통신기초설계실습2 9주차 결과보고서 인하대
    -2학기 정보통신기초설계실습페이지 PAGE2 / NUMPAGES2 ... 결과로 나오는 자리올림수를 다음연산에 고려하지 않는 adder를 half adder라고 하는데 half adder가 XOR게이트와 AND게이트를 사용한다. ... 확인할 수 있듯이 Full adder와 구조적으로 거의 비슷하고 단지 회로에 NOT게이트 두개가 추가된 것 밖에 차이가 없다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 (결과레포트와 예비레포트 동시에) 1주차 Lab01 TTL gates Lab on Breadboard
    [반가산기 실습 회로]5) 실습 5 : 전가산기 회로실험(1) 교안의 회로를 참고하여breadboard회로를 구성한다.(2) TTL(7486 XOR Gate IC/7408 AND Gate ... 배경이론1) OR 게이트 논리 회로- 입력 중 어느 하나라도 1이 되면 결과가 1이 되는 연산.- 출력은 논리 입력의 합과 같음.(1) OR게이트 진리표ABX = A + B***** ... [XOR게이트 실습 회로]4) 실습 4 : 반가산기 회로 실험(1) 교안의 회로를 참고하여 breadboard에 회로를 구성한다.(2) TTL(7486 XOR Gate IC/7408
    리포트 | 23페이지 | 3,000원 | 등록일 2020.07.27
  • 시립대 전전설2 Velilog 결과리포트 4주차
    실험 목적- Xilinx ISE 프로그램에서 Verilog를 이용하여 연산회로를 설계하고 프로그래밍 해본다.2. ... 나눗셈은 10진수의 나눗셈과 하는 방법이 같다.2) 연산회로 종류(1) 반가산기 : 두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 수(C)를 산출하는 논리 회로.(2 ... (output ,input, input) 이므로 첫 번째 and 게이트는 a를 not 시켜주고 두 번째 and 게이트는 b를 not 시켜주었다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:02 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대