• 통큰쿠폰이벤트-통합
  • 통합검색(819)
  • 리포트(770)
  • 자기소개서(41)
  • 시험자료(5)
  • 논문(2)
  • 방송통신대(1)

바로가기

ASIC 독후감 - ASIC 관련 독후감 3건 제공

"ASIC" 검색결과 41-60 / 819건

  • [전자과 ASIC] ASIC Term Project
    디지털 시계의 개요- Clock Divider 보드의 메인 클럭 33.33MHz의 클럭을 원하는 주기로 분할하는 모듈이다. 이는 디지털 시계의 밀리 세컨드를 증가시킬 때 필요한 클럭을 제공하며, LCD의 내용을 갱신하기 위한 클럭을 메인 클럭에서 분할하여 사용한다. ..
    리포트 | 4페이지 | 1,000원 | 등록일 2004.01.21
  • [asic실험] asic을 이용한 시스템 설계
    project-주차제어기조원전파통신공학과 9842398이주엽.컴퓨터공학과 9840936김현수.컴퓨터공학과 9850079윤철훈.1.주차제어기의 기능주차장의 현재상황과 주차비,그리고 진입제어기 및 출구와 입구에 있는 차량 출입 센서들을 제어하여 신호등의 빨간불과파란불로 결..
    리포트 | 7페이지 | 1,000원 | 등록일 2003.12.22
  • [ASIC] ASIC_7-segment decoder
    < if문을 사용한 코드>library ieee;use ieee.std_logic_1164.all;// entity 선언entity DEC7 is port (BCD : in std_logic_vector(3 downto 0); // 벡터를 사용(4bit 입력)y : o..
    리포트 | 3페이지 | 1,000원 | 등록일 2004.08.18
  • [asic] d_flipflop
    1. 문제 설명VHDL 프로그램을 사용하여 4X1 Multiplexer에서 4개의 3Bit 입력을 받아서 2Bit SEL값에 따라 이중에서 하나의 입력값을 3Bit 출력하고, 4X1 Multiplexer의 하나의 3Bit 출력값을 D-flipflop의 3Bit 입력값으..
    리포트 | 7페이지 | 1,000원 | 등록일 2003.03.28
  • [asic 프로젝트] asic을 이용한 digital door lock 설계
    digital door lock과목 : ASIC교수님 : 0 0 0 교수님수업시간 :00 000xxx 000000000000공학부1.
    리포트 | 8페이지 | 2,500원 | 등록일 2004.08.18
  • [asic] asic설계(sisc)
    1. 8-bit 마이크로 프로세서이번 프로젝트로 설계하는 마이크로프로세서는 기본적인 기능만이 가능하게 한 간단한 8-bit 마이크로 프로세서이다. 구조와 기능을 간단히 설명하면 이러하다.마이크로프로세서의 모든 컴포넌트들은 8-bit 데이터를 위한 양방향 데이터 버스와 ..
    리포트 | 17페이지 | 1,000원 | 등록일 2001.12.02
  • [ASIC]stopwatch스탑워치HDL구현
    [ASIC 설계 HW 3] STOP WATCH1.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.05.26
  • [asic] slice adder
    1. 문제 설명VHDL 프로그램을 사용하여 FULL ADDER 2개를 이용하여 2BIT SLICE ADDER를 구성하고 SLICE ADDER와 3 X 7 DECODER를 만들어 연결한후에 7-SEGMENT를 연결하여 component를 이용해서 구조적 모델링을 하자.2..
    리포트 | 8페이지 | 1,000원 | 등록일 2003.03.28
  • [디자인] 아식스(asics)디자인
    새로운 로고의 마크는 Promotional Mark (Spiral Mark) 로① ASICS의 머리글자"a"를 모티브로② 스포츠가 가지는 Speed감과 활동감을 표현하였고,③ ASICS의 ... 이것은 지금도 ASICS사의 기업철학으로 되어있으며 "스포츠를 통해서 사 회에 공헌한다"는 경영자의 신념이 담겨 있다. ... 1. asics의 설립배경아식스 스포츠는 국내외 떠오르는 농구스타들의 욕구를 충족시키기 위해 1949년 Onitsuka Kihachiro(오니쯔카 키하치로)에 의해 농구화를 처음으로
    리포트 | 7페이지 | 2,000원 | 등록일 2003.06.22
  • [asic] vhdl을 이용한 시계 설계
    VHDL을 이용한 디지털 시계 설계4) 현재는 달과 일을 각각 설정하게 되어 있다. 여기서 일을 증가시켜서 월이 설정되도록 설계하여라.먼저, 수정 이전상태의 VHDL소스를 보자. 우선 고쳐야 할 부분인 date 블록을 보면 다음과 같다.library IEEE;use I..
    리포트 | 8페이지 | 1,000원 | 등록일 2004.05.03
  • ASIC이란?
    ASIC기술 확보를 위해서 ASIC교육 시스템이 필요하게 된다. ASIC교육 시스템은 워크스테이션, 캐드 툴, 시제품을 위한 칩 제조라인, ASIC설계 전문가들을 포함한다. ... 이러한 ASIC기술의 낙후로 인해 외국으로 부터 ASIC관련제품을 고가로 수입해야 할 뿐 아니라, 첨단 제품 개발에 뒤떨어져 세계시장에서의 경쟁력 약화를 초래하게 된다. ... ASIC 설계기술은 미국, 일본, 대만에 비해 상당히 떨어져 있다.
    리포트 | 4페이지 | 무료 | 등록일 1999.10.26
  • [asic] 8bit alu
    1. 문제 설명VHDL 프로그램을 사용하여 곱셈에서 8BIT X 8BIT 할 경우에 발생되는 16BIT 결과를 표시할 수 있고, 곱셈에서 발생되는 CARRY와 덧셈에서 8BIT + 8BIT 할 경우 발생하는 CARRY를 처리할 수 있는 8BIT ALU(Arithmeti..
    리포트 | 4페이지 | 1,500원 | 등록일 2003.03.28
  • [공학]ASIC 프로젝트(DE2 보드를 응용한 라인트레이서)
    ASIC 설계 실험TERM PROJECT전 자 정 보 학 부 전 자 공 학 과DE_2 보드를 이용한 라인트레이서 응용'07 ASIC TERM PROJECT -3조순 서1. ... 개 요우리 조는 처음 예상발표에는 도서관 좌석관리시스템을 ASIC 프로젝트로 구현해 보리라 마음을 먹었었다.그러나 화요일반에서 도서관 좌석관리시스템을 한다는 이야기를 듣고 우리 조는 ... 라인트레이서의 구성라인트레이서는 메인부와 센서부,통신부로 크게 나눌수 있다.메인부는 원래 라인트레이서에서는 마이크로프로세서가 역할을 주담당하지만 이번 ASIC설계실험 프로젝트에서는
    리포트 | 18페이지 | 5,000원 | 등록일 2007.06.23 | 수정일 2014.12.04
  • DIGITAL FIR FILTER의 ASIC구현
    목 차제Ⅰ장 서론.....................................................................1제Ⅱ장 디지털 필터의 설계...............................................22.1. Filt..
    리포트 | 17페이지 | 2,000원 | 등록일 2003.06.04
  • [ASIC] 경희대학교 ASIC 설계 및 실험 모든 보고서
    9/25일 전가산기를 이용한 2bit 전가산기 1. 이론 2비트 전가산기는 2개의 1비트 전가산기로 구성될 수 있으며, 1비트 전가산기는 2개의 반가산기와 OR 게이트로 구성될 수 있다. 따라서 구조적인 프로그래밍 방법으로 2bit 전가산기를 설..
    리포트 | 6페이지 | 1,000원 | 등록일 2004.01.21
  • 경희대학교 asic 프로젝트( 윷놀이 게임만들기 )
    ASIC PROJECT- 랜덤변수를 이용한 2인용 윷놀이 게임 -목 표 : 랜덤 변수를 이용하여 2인용 윷놀이를 만들어 보자.제작 도구 : quartus2 program and nios
    리포트 | 11페이지 | 5,000원 | 등록일 2007.01.18
  • [asic] vhdl을 이용한 counter 설계
    1. 실험이론* 0~9 → 0000~1001: 상태가 4개⇒ 4개의 Flip-Flop 사용0*************11010001010110100110000111카운터는 순차 회로로서 왼쪽의 state diagram과 같이 값이 증가하는 것을 up-counter라 하고..
    리포트 | 6페이지 | 1,000원 | 등록일 2004.05.03
  • [칩 설계] ASIC&FPGA 디자인 흐름
    ASIC spec 결정 설계할 ASIC의 주요 사양 결정 기능 사양, 입출력 신호 사양, 시험 계획 3가지 2. ... 칩 제작 테스팅 설계된 칩을 제작하고 테스트 하는 과정 설계된 ASIC 칩이 제대로 동작하는지를 검증하는 과정FPGA 구현 과정 예시(1)1.
    리포트 | 11페이지 | 1,000원 | 등록일 2004.11.12
  • [vhdl] asic설계실험 발표자료
    ..PAGE:1A S I C 설 계 실 험(스톱워치 설계)전자공학..PAGE:21. 전체 구성도..PAGE:32. 각 블록 설명Top★ 전체 블록의 signal들을 관리해줌..PAGE:42. 각 블록 설명State Machine★ 세 단계의 state ( idle, s..
    리포트 | 10페이지 | 1,000원 | 등록일 2003.12.22
  • [asic] vhdl을 이용한 전가산기 설계
    1. 실험이론전가산기란?전가산기는 3개의 입력비트의 합을 계산하는 조합회로이며, 3개의 입력과 2개의 출력으로 구성된다. A와 B로 표시된 두개의 입력변수는 더해 질 현재 위치의 두 비트이며, C_in으로 표시된 세 번째 입력변수는 바로 이전 위치로부터 올라온 캐리이다..
    리포트 | 5페이지 | 1,000원 | 등록일 2004.05.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대