• 통큰쿠폰이벤트-통합
  • 통합검색(1,304)
  • 리포트(1,226)
  • 시험자료(68)
  • 논문(6)
  • 방송통신대(2)
  • 서식(1)
  • 자기소개서(1)

"BCD-7" 검색결과 41-60 / 1,304건

  • 실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    마지막 실험은 TTL IC 7447(BCD-to-7 segment decoder)을 사용하여 위와 같은 회로를 꾸미고 그 때의 진리표 를 작성 및 오실로스코프로 각 출력의 ... 먼저 실험 3)과 같이 TTL IC 7476 JK FF을 사용하여 이진계수기(4bit, 16modulus)를 만들었고 그 때의 출력을 TTL IC 7447(BCD-to-7 segment ... 7 segment의 출력을 확인해 본 결과 0에서부터 9까지 차례로 바뀌고 10이상의 숫자에서는 숫자가 이상하게 깨지며 나왔다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • BCD 가산기 설계 결과보고서
    그림[3-46]의 블록도와 같이 두 BCD의 입력을 받아 7-세그먼트 FND에 BCD를 출력하는 BCD가산기를 설계하라. ... 시뮬레이션 입력을 [표3-31]과 같이 주어졌을 때 7-세그먼트 디코드된 이진출력이 동일한지 검토하고 결과를 나타내라.중간값캐리덧셈 결과10(0XA)1011(0XB)1112(0XC) ... 디지털시스템 설계 실습 7주차 결과보고서학과전자공학과학년3학번성명※BCD 가산기 설계1.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 실습 10. 7-segment_Decoder 회로 설계
    BCD-to-10진 디코더는 4개의 입력을 사용하고 16가지의 출력 중에서 10가지만 사용해서 BCD부호로 표시된 수치를 십진법으로변화시킨다.7-segment LED는 숫자를 표시하는 ... : 보고서 제출날짜:)요약: 7-segment/Decoder 회로 설계를 통해 7-segment와 Decoder의 동작원리를 이해할 수 있었다. ... 아날로그 및 디지털 회로설계 실습실습 10. 7-segment/Decoder 회로 설계소속담당교수수업 시간조번호조원실습 10. 7-segment/Decoder 회로 설계(조:, 실험날짜
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 12. Stopwatch 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    테스트생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 실습준비물부품Inverter 74HC048개NAND gate 74HC003개NOR gate 74HC023개AND gate 74HC083개OR gate 74HC323개7-Segment3개BCD ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.BCD 카운터로 74HC192를 사용하였기 때문에 count up mode로 동작시키기위해서 CPu단자에는
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.06
  • 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    . 7-세그먼트 FND 디코더의 블록도4. 7-세그먼트 FND 디코더의 Verilog 코드1) fnd.vmodule fnd(clk,bcd,fnd_data, fnda, fndb, fndc ... 7-세그먼트 디코더 설계1. 실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩 해야 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    출 력 2bit 출력 to 7Segment 연산 출력값 2bit (6bit) 74185 ( Binary to BCD converter ) 7447 (BCD to7segment) BCD ... 감가산기 - 계산기의 집적도를 고려 가산기와 감산기를 동시에 설계 -AND, OR, XOR 와 같은 기본 소자들로 FULL ADDER 를 구현하고 , 이를 합쳐서 가산기를 구현했다 ... code 7SegmentPSPICE 결과 3 PSPICE Simulation( 출력 )PSPICE 결과 3 PSPICE Simulation( 출력 )최종 3 최종 결과Q A{nameOfApplication
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 논리회로실험 프로젝트 2, stop watch 설계
    따라서 60/(1*2)-1로 분주해준 값인 29로 할당해주었다.BCD 가산- 전체적인 작동은 저번 프로젝트에서 사용한 bcd to 7segment의 작동과 동일하다. ... ) 7 segment- 7 segment 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있어 7 segment ... 그 다음 값으로 넘어갈 때 모두 0이 되게 설정해야 한다.bcd to 7 segment- 위에서 구한 주파수인 clk_dc의 값에 따라 cnt_dc의 값이 0~5를 반복하도록 설정하였다
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    전자 회로의 내부적인 수치를 보여 주는 데 자주 사용된다.(4) BCD (binary_coded decimal)BCD는 Binary-Coded Decimal을 줄인 말로 단어에서 ... 따라서 BCD의 각 비트를 입력해주면 디코더를 이용하여 7 segment의 7개의 조명 조각이 각각 출력되게 할 수 있다. 즉, 4비트 10진수를 7비트 코드로 전환하는 것이다. ... 진리표를 따라 동작적 모델링을 구성해보았다.(14-21행) 위에서 나와있는 A가 아닌 다른 입력이 들어오면 DON’T CARE를 나타내는 ----를 출력하게 하였다.(22행) 사실
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... 따라서 그 사이에 통역을 위한 코드가 필요한데, 이를 바로 이진화 십진코드(BCD, Binary-coded decimal)라고 부른다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 7세그먼트FND디코더 verilog 설계
    설계한다,실습 내용실습결과논리식공통 음극 방식 7-세그먼트 디코더 진리표10진수입력(bcd[3:0])출력(fnd_data[7:0])bcd[3]bcd[2]bcd[1]bcd[0]abcdefg ... 제목7-세그먼트 FND 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • 실습 12. Stopwatch 설계 예비보고서
    단, 회로도를 그릴 때, VCC, GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.BCD to 7-segment decoder(MC14511B ... )를 통해 7-segment에 연결하고 7-segment사이에 저항(330Ω)을 달아 과전류를 방지 - ... Segment : 3개BCD Decoder 74LS47 : 3개BCD 카운터 74HC192P : 3개counter 74HC90 : 6개가변저항 1MΩ : 1개가변저항 10KΩ : 2개저항
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.19
  • 실험5. 7세그먼트 디코더 예비보고서
    ▣ 실험5. 7-세그먼트 디코더1. 실험 목적본 실험을 통해■ BCD/7-세그먼트 디코더 드라이버에 대해 알아본다.2. ... 기초이론2.1 BCD이진화 십진법(Binary-coded decimal, BCD)은 이진수 네 자리를 묶어 십진수 한 자리로 사용하는 기수법이다. ... 그러나 디코더가 확장될수록 이것의 구현은 게이트로의 입력 수가 매우 많아진다.2.3 7-세그먼트 표시 장치7세그먼트 표시 장치는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 광운대학교 전기공학실험 M3. 숫자표시기(7-SEGMENT LED) 응용 예비레포트 [참고용]
    숫자표시기(7-Segment LED): 2진 BCD코드를 10진수로 표시하는 장치이며 8개의 발광 다이오드로 구성되어있다. ... : BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 말한다. ... 숫자표시기(7-SEGMENT LED) 응용2.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.01.02
  • 아날로그 및 디지털 회로 설계실습 예비보고서 13주차
    실습 준비물부품Inverter 74HC04 8개NAND gate 74HC00 3개NOR gate 74HC02 3개AND gate 74HC08 3개OR gate 74HC32 3개7-Segment ... 12-1. ... 3개BCD Decoder 74LS47 3개BCD 카운터 74HC192P 3개counter 74HC90 6개가변저항 1MΩ 1개가변저항 10KΩ 2개저항 330Ω, 1/2W, 5%
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... ~1111해당 기호해당 기호XDCBA - 0111: 7DCBA - 0011: 3DCBA - 0000: 0DCBA - 1111: X(해당표시)DCBA - 1101: 해당기호이진코드에 ... 이때 디코더를 이용하여 BCD코드를 활용하고 여러 가지 디코더를 활용한 설계를 진행하여 숫자표시기-디코더 조합의 사용법을 완벽하게 익힌다.3.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • 홍익대학교 전전 실험1 부호기 예비보고서
    이때 필요한세그멘트(segment)수는 7개 이다.BCD-to-seven segment 위치와 숫자모양BCD-to-seven Segment 모형도BCD-to-seven Segment ... 14 × 2 인코더 진리표논리 회로도2.3 십진 수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다. ... (4) 그림 5와 6을 참조하여 십진수 구성요소 b~g-편에 대한 논리식을 구하고, 이를 이용하여 BCD-to-7 segment 복호기의 논리회로를 구성하라.(5) 7490 십진 카운터에
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.25
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    표는 BCD-to-7 세그먼트 디코더에서 입력에 대한 출력값을 나타낸 것이다.3. ... BCD-to-7 세그먼트 디코더는 4개의 입력을 받아서 출력이 0000~1001까지 나오는 회로이다. 1001까지만 나오는 이유는 BCD의 경우 10이상을 한자리수로 표현할 수가 없다 ... 위의 자료사진 3개는 모두 Vcc에 꽂았으므로 불이 정상적으로 들어왔다.이번 실험에서는 BCD-to-7 세그먼트 디코더를 이해하고 있는지, BCD자체를 이해하고 있는지를 확인할 수
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    10가지만 사용하여 BCD 부호로 표시된 수치를 십진법으로 변화한다.BCD-to-10진 디코더의 진리표와 논리도는 다음과 같다.인코더는 m 방향에서 오는 입력정보를 n 방향의 출력정보로서 ... 수치로 나타내는 수치 디스플레이 장치 등에 사용된다.N 개의 입력에 대해 최대 2N 개의 출력 단자가 가능하며 BCD-to-10진 디코더의 경우 4개의 입력과 16가지 출력 중에 ... 실습을 위한 이론적 배경:디코더는 2진부호, BCD 부호, 기타 여러 가지 부호들을 부호가 없는 형태로 바꾸는 변환회로이며 계산기의 BCD 부호를 발광 Diode 를 이용한 10개의
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2. ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다. ... 구현BCD-to-7segment 디코더를 만들기 전에 지금 까지 binary ALU를 통해 나온 결과를 7 segment로 출력하기 위해서 BCD 코드로 변환이 필요하다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 아주대 논리회로실험 실험8 Counter 예비보고서
    출력단자 a~g는 각각 7-segment의 LED와 연결되고, 단자 A~D는 BCD Counter를 통해 얻은 2진코드가 입력으로 들어온다. ... SN7447A다음 그림은 SN7447A칩으로 BCD Counter를 통해 얻은 2진코드를 디코딩하여 7 -segment의 LED에 표시할 수 있게한다. ... 이때 X는 Don’t Care를 의미한다.2) 7 Segment다음 그림은 7 Segment로 4bit코드로 변환한 BCD코드를 디코딩하여 LED를 통해 10진수 0~9로 확인할 수
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대