• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(485)
  • 리포트(473)
  • 자기소개서(5)
  • 시험자료(4)
  • 서식(2)
  • 논문(1)

"FND" 검색결과 41-60 / 485건

  • [A+]중앙대학교 마이크로프로세서 응용회로설계실습 argc,argv 실습 결과보고서
    첨부하시오.//-------| src/fnd.c |-------//#include "fnd.h"static unsigned short fnd_hexadecimal[16] = {0x3F ... [MAX_FND];void init_fnd(short * address[]) {int i;for( i=0; i ... 마이크로프로세서응용회로설계실습6주차 결과보고서소속담당교수강의시간학 번성 명교재 P.45에 있는 실습을 진행한 캡쳐화면을 첨부하시오.fnd.c 코드 중 빈칸 부분을 채우고 그 내역을
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.27 | 수정일 2023.04.04
  • 마이크로프로세서+6주차+결과보고서-실습8 Timer를 이용한 디지털 시계
    포트연결 :1)포트E 의 PE0 ~ PE7 은 8핀케이블로 ARRAY FND의 A ~H 까지 연결.2)포트G의 PG0 는 ARRAY FND의 C0, PG1 -> C1, PG2 -> ... (C3, time_s%10);_delay_ms(1);print_FND(C2, time_s/10);_delay_ms(1);print_FND(C1, time_m%10);_delay_ms ... Array-FND 모듈에 마이크로 컨트롤러 출력 포트를 연결하고, 클럭을 이용하여 일정 카운트 기능을 수행한다. 타이머 카운터 0의 일반 모드 동작을 사용한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 6다음은 실습 6의 코드로 로드 기능이 있는 4비트 업-다운 카운터를 FND Array에 표시하는 코드를 작성하는 것이 목표였다. ... 삭제한 코드는 위의 초록색 주석 처리하여 코드를 실습 4 실험 때 사용하여 다음과 같은 결과를 얻었다.FND array에 ?137이라는 출력값이 성공적으로 나타났다.5. ... 실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    FND는 7개의 획으로 구성되어 있으며, 숫자나 문자를 나타낼 수 있다. ... 시계를 구성하기 위해서는 1초 생성기, 60초,분 카운터, 12진 시간카운터, 2x1 MUX, 그리고 출력을 위한 FND가 필요하다. ... 각 카운터를 거친 4비트를 할당한 일의자리, 십의자리 데이터는 각각 FND와연결되어 시뮬레이션 툴, 또는 DE2 보드로 볼수 있게 된다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    실험 이론(1) 7-Segment Decoder- 7-Segment 또는 FND (flexible numeric display)라고 부른다.- 8개의 LED로 구성되어 있으며, 각각의 ... [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과b. ... [실습 6] 4-bit up-down counter의 출력 값을 FND Array에 표시하시오.Source codeTestbenchPin testbench 시뮬레이션 결과4.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • Mux&Decoder2차레포트 디지털회로설계
    ) 2비트 2x1 Mux Schematic , VHDL(3) 1비트 1x2 Demux Schematic , VHDL(4) 2x4 Decoder Schematic , VHDL(5) FND ... ) 2비트 2x1 Mux Schematic , VHDL(3) 1비트 1x2 Demux Schematic , VHDL(4) 2x4 Decoder Schematic , VHDL(5) FND ... 하나가 들어오는데 select를 통해 다양한 출력을 뽑을 수 있게 하는 것 이다Decoder디코더는 활성화될 때 입력신호에 따라 여러 출력선 중 하나를 선택하게끔 만드는 장치이다.FND
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • BCD 가산기 설계 결과보고서
    그림[3-46]의 블록도와 같이 두 BCD의 입력을 받아 7-세그먼트 FND에 BCD를 출력하는 BCD가산기를 설계하라. ... 1213(0XD)1314(0XE)1415(0XF)1516(0X10)1617(0X11)1718(0X12)18[표 3-31]연습문제1. 4비트 가산기/감산기에서 입력이 다음 표와 같을 때 FND
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • [마이크로컨트롤러]외부인터럽트
    =digit[t_100ms]; _ delay_ms (3); //PG2=0, 3 rd FND PORTG=0b00000111; PORTC=digit[t_10ms]; _ delay_ms ... PORTG=0b00001101; PORTC=digit[t_1s] | 0x80; _ delay_ms (2); //PG1=0, 2 nd FND PORTG=0b00001011; PORTC ... Segment LED Array 디스플레이 처리 함수 { PORTG=0b00001110; PORT C =digit[t_10s]; _ delay_ms (2); //PG0=0,1 st FND
    리포트 | 13페이지 | 1,500원 | 등록일 2022.10.10
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    아래는 이런 값들을 표시하기 위한 진리표이다.HBE Combo 2 SE에는 1 Digit만 표현하는 단일 FND도 있지만 4 Digit을 표현할 수 있는 4개의 FND가 존재한다. ... 이처럼 여러 Digit을 표현하는 배열을 FND array라 한다. ... .- 입력: input [3:0] bcd는 bus SW로 입력- 출력: output [7:0] out은 single FND에 출력[실습 4] FND array를 제어하기 위한 다음
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 마이크로프로세서 실험- 타이머와 카운터
    심화 과제 코드#include //};unsigned char FND_DATA_TBL[] = {0x3F, 0X06, 0X5B, 0X4F, 0X66, 0X6D, 0X7C, 0X07, ... 0X7F, 0X67, 0X77, 0X7C, 0X39, 0X5E, 0X79, 0X71, 0X08, 0X80}; // 표시문자에 대한 FND 문자표unsigned char time_s= ... (unsigned char selCx, unsigned char data); // 원하는 FND 포트에 // data 출력SIGNAL(TIMER0_OVF_vect); // Timer0의
    리포트 | 16페이지 | 2,000원 | 등록일 2020.10.05
  • ATmega128을 이용한 4-세그먼트 0-99출력 소스
    단자를 각각 연결하여라. 4개의 7-segment의 common 단자를 각각 제어하여 숫자 0에서 99까지 디스플레이하는 카운터를 설계하시오(단, 주기는 100초로 할 것)char FND_DATA ... 코드 첨부#define F_CPU 16000000UL //16M 주파수#include //내부 헤더파일 사용#include //딜레이 헤더파일을 include 시킨다.char FND_DATA
    리포트 | 2페이지 | 1,000원 | 등록일 2020.06.08
  • 건국대학교 전기전자기초설계및소프트웨어실습 12주차 레포트 A+
    //FND1은 9로 초기화if (FND2 == -1) {//FND2이 -1이면FND3--; //FND3이 1감소한다_ 백의자리가 (index)가 -1이되면 천의 자리가 1 감소FND2 ... 감소if (FND0 == -1) { //FND0이 -1이면FND1--; //FND1이 1감소한다_ 일의자리(index)가 -1이되면 십의 자리가 1 감소FND0 = 9; }//FND0은 ... 감소FND1 = 9; }//FND1은 9로 초기화if (FND2 == -1) {//FND2이 -1이면FND3--; //FND3이 1감소한다_ 백의자리가 (index)가 -1이되면
    리포트 | 11페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 실습 4실습 4는 sign, hundreds, tens, ones 등의 값을 입력하여 ‘FND array’에 값을 출력하게 하는 것이었다. 8비트 7-segment의 값을 출력하기
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 디지털시스템설계 7-segments 실습보고서
    FND_COM의 값이 4비트 이진수 0100일 때는, FND_DATA에 seg1값을 저장하여 두 번째에 있는 숫자를 출력하고, FND_COM의 값이 4비트 이진수 0010일 때는, ... FND_DATA에 seg2값을 저장하여 세 번째에 있는 숫자를 출력하고, FND_COM이 1000, 0100, 0010도 아닐 때에는 FND_DATA에 seg3값을 저장하여 맨 오른쪽에 ... FND_COM, seg0 ~ seg3 중 하나의 값이 변하면 always문을 실행하게 되는데, 이 때 FND_COM은 cnt4의 값에 의해 해당하는 segment를 선택한다.FND_COM의
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    FND가 하나만 있을 때는 input 8개만 넣어서 조절해주면 되지만, FND array는 FND가 4개가 있는 것이므로 이론상으로는 총 32개의 input을 조절해 주어야 한다. ... 장비 동작 확인 과정에서 Bus SW5~8를 사용하여 입력(0000~1001)을 주면 그에 해당하는 숫자(0~9)가 FND에 출력되는 것을 확인할 수 있다.- [실습 4]는 FND ... 하지만 실제로는 좀 더 간편하게, FND ar 반복해서 일어나기 때문에 장비 동작 확인 과정에서는 FND array에 그냥 -137이 계속 띄워져 있는 것으로 보이게 된다.- [실습
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    7개의 획으로 숫자나 문자를 나타낼 수 있는 표시장치로 FND라고도 불립니다.8개의 LED로 구성되어 있으며 각각의 LED는 a,b,c,d,e,f,g,dp로 명시되어 있습니다.- 실습에
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 건국대학교 마이크로프로세서응용 Lab7 A+
    = r17.def FND_En= r18.def FND_Data= r19.def temp= r24.macro SETSP ; Usage : STEPSP RAMENDldi r24, low ... = r17.def FND_En = r18.def FND_Data = r19.def temp = r24.macro SETSP ; Usage : STEPSP RAMENDldi r24, ... 주소 값을 X레지스터를 통해 하나씩 넣어주며 딜레이를 주고, lsr 명령어를 수행하여 FND에 값을 나타내는 코드만이 들어있다.
    리포트 | 17페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 마이크로프로세서 응용회로설계실습 결과보고서6
    또한 숫자 1 아래의 LED pin들이 모두 켜진 것을 확인할 수 있다.명령형 인자가 1개이므로 LED와 FND가 모두 켜지는 것을 확인할 수 있다. ... find_hexa_number()의 비트 연산 number & 0xF는 number의 숫자를 2진수 00001111과 and 연산을 하여 number의 2진수 형태에서 뒤의 4자리를 결과로 가진다.FND를 ... [ $argc_argv ]을 입력하였을 때의 ximulator의 표시 값argc_argv만을 인자로 받아 argc = 1이 표시되고, argv를 나타내는 FND엔 8.8.8.8.8.8.8.8
    리포트 | 12페이지 | 1,000원 | 등록일 2024.07.05
  • 건국대학교 마이크로프로세서응용 Lab11 A+
    = r17.def FND_En= r18.def FND_Data= r19.def temp= r24#define LF0x0A // Line Feed#define CR0x0D // Carrage ... define BAUDRATE57600#define UBRR0(F_CPU / (BAUDRATE * 16)) - 1#defineF_SCK400000.def SREG2= r11.def FND_cnt ... nibbles TWIdataH(7:4), TWIdataH(3:0), TWIdataL(7:4), TWIdataL(3:0) to digit4rcall ModifyFNDforever:rcall FND_Displayrjmp
    리포트 | 49페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.06.12
  • [논리회로실험] 실험8. Counter 결과보고서
    a 연결, 74HC47의 12번과 FND507 b 연결, 74HC47의 11번과 FND507 c 연결, 74HC47의 10번과 FND507 d 연결, 74HC47의 9번과 FND507 ... 실험 3-2는 이 실험값을 74HC47과 연결하고 FND 507로 출력해줌으로써 FND 507의 a~g까지에 해당하는 디스플레이에 점등이 됨으로써 이진수의 값을 십진수로 표현해주는 ... e 연결, 74HC47의 15번과 FND507 f 연결, 74HC47의 14번과 FND507 g 연결7 세그먼트로 결과 확인[ 실험결과 ]실험 3-1)최하위비트인 A부터 클럭이 발생할
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.28
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대