• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(220)
  • 리포트(193)
  • 시험자료(9)
  • 논문(6)
  • 자기소개서(5)
  • 서식(2)
  • 이력서(2)
  • ppt테마(2)
  • 방송통신대(1)

"Operation testing module" 검색결과 41-60 / 220건

  • 디지털논리회로실험(Verilog HDL) - Adders
    마지막 연산에서 co은 s[4]가 된다.comparator는 input V가 10이상이 되면 z를 1로 만들고 아닌 경우 z=0이 된다.위 모듈을 sw의 input에 따라 HEX(7 ... Write a Verilog module for the full adder subcircuit and write a top-level Verilog module that instantiates ... You should use the four-bit adder circuit from part Ⅲ to produce a four-bit sum and carry-out for the operation
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    ) Compile the circuit and use functional simulation to verify the correct operation your comparator, ... of Altera’s web site.3) Compile the project and download the compiled circuit into the FPGA chip.4) Test ... the DE2-series board.4) Recompile the project, and then download the circuit into the FPGA chip.5) Test
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    그리고 첫 번째 Half Adder의 Output인 C1, S1과 두 번째 Half Adder의 Output인 C2를 Wire로 설정한다.위에서 만든 Half Adder Module을 ... [실험 2] 1-bit Full Adder 설계Add SourceSource Code모듈을 지정해주고, 사용할 Input 및 Output을 지정한다. ... SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 방법[실험 1] Half Adder 설계Add SourceSource Code모듈
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 디지털공학실험 07. 직렬덧셈기 결과
    수업자료의 직렬가산기의 Operation과 그를 통한 상태표와 상태그래프를 통해서 VHDL 모듈 코드를 작성했다. ... [회로][VHDL Module Code]x를 4비트로 잡고 0101 이라는 초기값을 저장하였다.y를 4비트로 잡고 0110 이라는 초기값을 저장하였다.ci는 0이라고 설정하였다.xout ... [Test Bench Code]clk이 0이면 50us만큼 주기가 있고 1역시 50us의 주기를 가진다.st가 1일때도 50us의 주기를 설정하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29
  • 연세대학교,전력및제어공학실험 chapter36-38
    Viewing the front face of the module:a) The main series winding is connected to terminals 3 and 4.b) ... HP]62115V*2A≅ 230[VA]27016450.16[HP]95115V*5A≅ 575[VA]36013660.19[HP]123115V*3≅345[VA]32010750.20[HP]TEST ... Does the inductively compensated motor woin Question 1, which one is the better adapted to operate on
    리포트 | 14페이지 | 10,000원 | 등록일 2018.12.16
  • [영문essay] 경영정보시스템/ 서비스로서의 소프트웨어(Software-as-a-Service, SaaS) 관련 논문 과제물입니다.
    National University of Ireland, DublinBachelor of Business Studies (Part-time)Intake 54Group: (3)Module ... The same demo instance will automatically expire without the need to inform Operations to terminate the ... customer requests a demo account, the sales manager receives the minimized information such as the operating
    논문 | 19페이지 | 4,000원 | 등록일 2022.02.08 | 수정일 2022.02.11
  • 파워포인트에 사용할 수 있는 소스모음(도형,화살표 등)
    프로세스분해도 단위프로세스기술서 운영시나리오 ERD 엔터티 , 어트리뷰트 (3) 시스템설계 개발표준정의서 코드설계서 데이터베이스설계서 Message 설계서 Function 설계서 모듈 ... ㅁㅁ     a a a a a a a a고객에 대한 이해 상품기획 역량강화 고객가치 기반의 차별화 서비스 제공 TM 의 고객응대 역량 강화 Collaborative 영역 Operational ... 업무트렌젝션설계 인터페이스설계 Application 개발 테스트계획수립 (5) (6) 테스트 실시 단위테스트 통합테스트 (7) 운영테스트 15 30 50 70 80 85 92 100
    ppt테마 | 93페이지 | 3,000원 | 등록일 2017.09.06
  • 연세대학교 화공실험1 과목 실험 결과 레포트(연료전지 실험 결과 보고서)입니다.
    전류-전압기를 통해 전력이 생성된 것을 보아, 태양광 모듈을 이용한 물의 전기분해와 수소에너지 이용에 성공했음을 알 수 있다. ... Developed in the mid-1960s and field-tested since the 1970s, they have improved significantly in stability ... 즉, 모듈을 이용하여 광자에너지를 전기에너지로 변환시켰고, 그 전기에너지를 이용하여 물의 내부에너지를 다시 전기에너지로 변환하여 전력을 얻었다.Current Density(A/cm2
    리포트 | 4페이지 | 1,000원 | 등록일 2017.07.16
  • Computational Thinking 역량 개발을 위한 수업 설계 및 평가 루브릭 개발(요약)
    어떻게 배우느냐로 옮겨가는 사고의 과정 및 학습의 과정을 의미한다. 4개의 실행 요소는 단계성과 반복성(being incremental & iterative), 테스팅과 디버깅(testing ... 분해함)- 추상화(분해한 문제를 하나의 모듈로 설계해서 모듈 당 하나의 블록을 만들어 사용함)11센서 보드 활용 프로젝트- 시뮬레이션(스위치의 세기, 빛의 밝기, 소리의 세기값을 ... Variable) : 스크래치로 작성된 프로그램의 특성들(Looks, Sound, Motion, Variables, Sequence & Looping, Boolean Expressions, Operators
    리포트 | 8페이지 | 1,000원 | 등록일 2016.12.24 | 수정일 2019.06.15
  • Computer Organization And Architecture ch12 요약본
    design프로세서에 의해 수행되는 기능들을 정의명령어 세트를 설계할 때는 프로그래머의 요구사항들이 반드시 고려되어야 함Fundamental design issues:연산 종류(Operation ... Arithmetic and logic데이터 저장: Movement of data into or out of register and or memory locations데이터 이동: I/O제어: Test ... 지정되어야 한고 명령어는 원하는 레지스터의 번호를 포함하고 있어야 한다.Immediate: 오퍼랜드의 값이 실행될 명령어 내의 한 필드에 포함I/O 장치: 명령어는 연산을 위해 I/O모듈
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.29
  • 논리회로실험 2014 Calculator
    입력의 범위는 0~F (16진수) 출력의 범위는 00~FF (16진수)이다.[ 그림 3 ] module의 관계VHDL 코드의 구조는 LCD_DISPLAY의 상위 모듈에 LCD_TEST ... LCD_TEST모듈은 LCD를 초기화시키고 register file로부터 데이터를 읽어서 LCD에 출력하는 모듈이다. ... , DATA_GEN의 하위 모듈로 구성된다.
    리포트 | 22페이지 | 1,000원 | 등록일 2014.11.05
  • 자동차 용어 표준 1436 단어 정의 정리본
    CRASH PAD MODULE"현재 다부품, 다공정으로 제작되고 있는 크래시 패드구조를 마그네슘 합금 경량재를 이용하여 일체 성형화한 것. ... T"운전자가 직접 엔진의 회전수, 차량속도, 차량소음 발생정도에 따라 변속단수를 결정하고, 변속조작과 동시에 동력차단장치인 클러치를 조작하여 변속을 구현하도록 하는 장치."593수밀 테스트 ... .307림 오프셋RIM OFFSET휠 디스크에서 림센터와 디스크 장착면과의 직선거리.308링 네트웍RING NETWORK네트웍 구성방식이 원형을 이루는 것.309마그네슘 크래시 패드 모듈MAGNESIUM
    리포트 | 89페이지 | 8,000원 | 등록일 2018.10.15
  • ITU의 전파관리제도와 주요선진국 전파관리 사전 사후 규제에 대한 연구
    Change tests? ... Radio stations established by private operators (Accredited Persons)? ... flux density meterElectrical, magnetic and electromagnetic field strengthModulation AnalysisMediated modulation
    리포트 | 4페이지 | 7,000원 | 등록일 2018.03.26
  • 공장 자동화
    공장 자동화 (Automated test systems) 학과 : 학번 : 날짜 : 이름 :공장자동화 개념 기계의 조작을 사람에 의하지 않고 제어하는 기계적 · 전기적 메커니즘 . ... 자동화라고도 한다 . 1948 년 미국의 포드자동차회사에서 신설한 엔진가공의 자동화 연구 부문을 오토메이션부라고 한 것이 처음으로 , 오토매틱 (automatic) 과 오퍼레이션 (operation ... 미국 전기 공업회 규격 ( NEMA:National Electrical Manufactrurers Association) 에서는 디지털 또는 아날로그 입출력 모듈을 통하여 로직 ,
    리포트 | 12페이지 | 1,000원 | 등록일 2017.11.29
  • 바르질라Steerable thruster번역
    32) 이후의 상기 샤프트장치(3)의 구동축(33)에 결합되는 것을 특징으로 하는 추진장치.STT윤활시스템의 기술 사양서1.시스템조형가능 반동추진엔진 스티어링 시스템은 아래의 유압모듈을 ... Conservation:Paint specificationOne layer of primerOne end layerExternal connection are plugged.Modules ... flange.Pressure gauges to have a scale of 1.5~2X the normal operating range.Pressure gauges to be liquid
    리포트 | 9페이지 | 1,000원 | 등록일 2017.07.08
  • (컴퓨터의이해 공통) 1. 아래의 문제에 대하여 2. 2차원바코드에 대하여
    사용되고 있다.- 스타벅스의 QR코드(pdf417)를 활용한 모바일 결제 미국 전매장 확대 발표오늘 (11년 1월 19일) 미국 스타벅스는 그동안 1000여개 미국 매장에서 기술적 테스트를 ... 용이한 환경이라 할 수 있다.- Applications이메일 클라이언트, SMS 프로그램, 달력, 지도, 브라우저, 연락처 등 핵심 어플리케이션을 제공하며, JAVA 가진 17개의 module로 ... 명령어 형식에서 명령의 종류를 나타내는 부분을 연산자(operation)라 하고, 이 명령의 대상이 되는 데이터나 기억장소를 지정하는 것을 피연산자(operand)라 한다.
    방송통신대 | 18페이지 | 4,000원 | 등록일 2017.03.10
  • Verilog HDL
    HDL 모델링 예행위수준 모델링 (조합논리회로)행위수준 모델링 (순차회로)Verilog HDL 모델링테스트벤치 모듈HDL 모델을 시뮬레이션 하기 위한 Verilog 모듈*DUT에 ... 인가될 시뮬레이션 입력(stimulus)을 생성하는 구문*시뮬레이션 대상이 되는 모듈(Design Under Test; DUT)의 인스턴스*시뮬레이션 입력에 대한 DUT의 반응(response ... 사용되며, 컴파일과정에서 무시됨단일 라인 주석 문; // 로 시작되어 해당 라인의 끝까지블록 주석 문; /* ~ */ 로 표시블록 주석 문은 내포(nested)될 수 없음연산자(operator
    리포트 | 77페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • NHN은 이렇게 한다 소프트웨어 품질관리
    모듈을 수정할 때 회귀 테스트로 오동작할 뻔한 경우를 막은 경우가 있음검사 도구 CppCheck FindBugs PMD 적용하고 나서 정적 분석 적용 결과 좋았던 점 적용할 때 어려웠던 ... 모듈 자주 코드를 수정하는 모듈 단계적 빌드에 필요한 것 형상 관리 시스템 (SVN) 빌드 자동화 스크립트 (Ant, Maven) NHN 단계적 빌드 프로세스 단계적 빌드검사 도구 ... 사용자의 입력 요소는 더해질 수 left, 사직 연산자인 operator, 더하는 수 right 입니다 .
    리포트 | 21페이지 | 1,000원 | 등록일 2014.01.10
  • Verilog HDL 문법 자료 (A+받은 자료 입니다)
    who_2(A,B,Y); ~ endmodule형식: module 모듈_이름(포트신호_이름); 1)모듈_이름은 설게하고자 하는 논리회로를 잘 표현하는 이름을 사용자가 부여한다. 2) ... 시뮬레이션을 하기 위해선 테스트벤치 파일을 같이 프로젝트에 추가 해주어야 한다.{nameOfApplication=Show} ... 위에서 and_2와 or_2는 사전에 만든 모듈의 이름이다.Verilog 표현 예 5)Mixed level description(혼합 레벨 표현) module AND_OR(A,B,C
    리포트 | 34페이지 | 3,000원 | 등록일 2015.06.22 | 수정일 2015.06.23
  • Verilog HDL
    )가 사용되면 z로 취급첫 번째 문자를 제외하고는 밑줄(underilog HDL 모델링테스트벤치 모듈HDL 모델을 시뮬레이션 하기 위한 Verilog 모듈*DUT에 인가될 시뮬레이션 ... 입력(stimulus)을 생성하는 구문*시뮬레이션 대상이 되는 모듈(Design Under Test; DUT)의 인스턴스*시뮬레이션 입력에 대한 DUT의 반응(response)을 ... 사용되며, 컴파일과정에서 무시됨단일 라인 주석 문; // 로 시작되어 해당 라인의 끝까지블록 주석 문; /* ~ */ 로 표시블록 주석 문은 내포(nested)될 수 없음연산자(operator
    리포트 | 57페이지 | 1,000원 | 등록일 2016.04.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대