• 통큰쿠폰이벤트-통합
  • 통합검색(269)
  • 리포트(259)
  • 시험자료(5)
  • 자기소개서(2)
  • 방송통신대(2)
  • 논문(1)

"Programmable logic c" 검색결과 41-60 / 269건

  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    PROM- Programmable Read Only Memory의 약자로, 다시 수정 가능한 ROM을 총칭한다(EPROM, EEPROM 등).- 모델 온보드 (공중) 디지털 컴퓨터. ... logic을 최종적으로 FPGA Device Configuration까지 수행해서 동작을 확인한다.나. ... Pre-reportSchematic Design with Logic Gates날짜 :학번 :이름 :1. Introduction가.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    Altera : Quartus II는 Altera에서 제작 한 programmable logic device design 소프트웨어이다.4. ... C언어의 컴파일 과정과 비슷하다고 보면 된다.4) Simulation Sources 폴더에 testbench파일을 만들어, 설계된 회로에 넣을 입력값이나 클록신호를 verilog코드로
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 경북대 기초전기전자실험 A+ PLC제어
    실험 목적CNC(Computer Numerical Control)와 PLC(Programmable Logic Controller)의 구조를 이해하고 CNC로 형상을 가공한 후, 제품을 ... PLC의 정의PLC(Programmable Logic Controller)란, 종래에 사용하던 제어반 내의 릴레이, 타이머, 카운터 등을 LC등의 반도체 소자로 대체시켜 소형화하고 ... Robot을 이용하여 Belt-conveyer로 이동시킨 후 사용 여부를 판단하여 운반하는 각각의 간단한 프로그램을 작성할 수 있는 능력을 배양한다.2.
    리포트 | 10페이지 | 3,000원 | 등록일 2019.09.15
  • 기계공작법 용접2 (예비)
    시퀀스 제어는 사용기구와 발달과정에 따라 일반적으로 릴레이 시퀀스(relay sequence), 로직 시퀀스(logic sequence) 그리고 PLC(programmable logic ... 제어시스템(1) 시퀀스 제어(sequence control)공장자동화를 위한 제어시스템은 미리 정해진 기기의 동작순서나 방법 등에 따라 조작되는 시퀀스 제어에 의해 수행된다.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.11.13
  • 진동및메카트로닉스 스텝모터및엔코더 실험 보고서
    우리가 실험에서 다룰 엔코더는 TTL logic 출력형태를 가지며, Optical 을 이용하여 측정을 하며, Rotary 운동을 측정한다. ... CompilerCodeVisionAVR에서 Tool -> Chip Programmer 실행팝업 창에서 Program -> Erase Chip 이후Program -> FLASH선택이와 ... TTL logic의 출력형태를 갖는다는 것은 축이 회전하면서 슬릿을 통하여 빛이 통과했다가 차단되면서 광센서에 펄스를 발생시킨다는 것을 의미한다.
    리포트 | 14페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • 마이크로컴퓨터 레포트(6)
    BusOutside of ComputerInside of ComputerInternal BusControl BusData BusAddress Bus16/8/24/CPUArithmetic Logic ... ROM- Memory Cell로 다이오드를 사용한다.- 대량 생산이 가능하다.- 내용을 수정할 수 없다.- 가격이 저렴하다.- 트랜지스터로 만드는 기술 또는 MOS 기술로 만든다.· Programmable ... 00 0000000001 00 0000000010 00 0000000011 00 00000000(0000H ~ 03FFH)(0400H ~ 07FFH)(0800H ~ 0BFFH)(0C00H
    리포트 | 11페이지 | 3,000원 | 등록일 2021.05.16
  • 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    logic device design 소프트웨어이다.4. ... Synthesis Environment)는 Xilinx에서 HDL 설계의 합성 및 분석을 위해 제작 한 소프트웨어 도구이다.4) Altera : Quartus II는 Altera에서 제작 한 programmable ... 링 카운터와 존슨 카운터의 구성:(1) 링 카운터의 특징 :상태Q(A)Q(B)Q(C)Q(D)S(0)1000S(1)0100S(2)0010S(3)0001S(0)1000< D 플립플롭 기반
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 한기대 컴퓨터공학입문 중간고사 내용 정리 / 이것만 외우면 A+ / 한국기술교육대학교 /
    있는 각 장치들의 작동을 지시하고 감독하며, 주기억장치에서 받아들인 명령을 해독하여 정확하게 수행되도록 통제하는 장치이다.나) 연산 장치연산 장치 (ALU: Arithmetic Logic ... 컴퓨터공학입문 중간고사 내용 정리1-1-3 컴퓨터의 구성 (p. 10)*컴퓨터의 중앙 처리 장치 – CPUCPU는 제어 장치와 연산 장치로 구성된다.가) 제어 장치제어 장치 (control ... 속도와 입/출력 장치의 속도 차이 때문에 두개를 직접 연결하는 것은 비효율적위의 문제를 해결하기 위해 => 입출력장치와 중앙처리장치 사이에 입출력 전담 처리기인 입출력 채널(I/O channel
    시험자료 | 10페이지 | 3,000원 | 등록일 2021.02.06
  • [A+보고서] 회로실험 메모리 회로 예비보고서
    .- EEP(electrically erasable programmable) ROM :기억된 내용을 지우고 다른 내용 을 기록할 수 있는 롬이다. ... 공급됨5) 어드레스(address)- 16개의 메모리 위치를 결정하기 위하여 4개의 입력선이 사용- 각 입력 어드레스는 4개의 2진 digit로 구성- 54/7489 pin 구성 및 logic ... static memory이고, clock이나 timing strobe가 필요 없다.⑤ 데이터 입력과 출력을 위해 공통 line을 사용하고, 3-static 출력이다.?
    리포트 | 9페이지 | 1,500원 | 등록일 2022.12.24
  • [전기전자실험]PLC제어 실험보고서
    이론⑴PLC의 정의:PLC(Programmable Logic Controller)란, 종래에 사용하던 제어반 내의 릴레이, 타이머, 카운터 등을 LC등의 반도체 소자로 대체시켜 소형화하고 ... 복잡한 데이터형을 래더에 비해 쉽게 다룰 수 있다.⑤ST(Structured Test):마이컴 개발자나 C,C++등의 고급프로그래밍 언어를 사용하는 사람이 쓰기 좋은 프로그래밍 언어이다 ... C언어 등에서 펑션을 사용하듯 입력 파라미터와 출력 파라미터 간의 관계를 하나의 펑션 블록으로 만들어, 전자부품을 배선하듯이 그리게 된다.?대부분 래더와 함께 사용된다.[장점]?
    리포트 | 9페이지 | 1,500원 | 등록일 2020.12.17 | 수정일 2023.11.27
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    Altera : Quartus II는 Altera에서 제작 한 programmable logic device design 소프트웨어이다.4. ... 값은 현재 상태의 count 값에 1을 더한 값이 저장된다. ... 비트 폭을 따로 선언하지 않으면 1비트 스칼라이다.파라미터 (parameter):모듈 내에서 상수(constant)를 정의하는 수단이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • PLC, 시퀀스 제어방식(자기유지회로,인터록회로,타이머) 실험 보고서
    이에 대응하기 위해서, FA공정제어 논리를 프로그램 형태로 작성하여, 컴퓨터로 구현하는 방법이 개발되었는데, 그 대표적인 예가 PLC (Programmable Logic Controller ... 스위칭 단자 com은 NC단자와 연결이 되고 이 때, C2-NO2 사이에는 30mV가 흐르게 된다. ... C2-NO2에는 25mV가 흐르게 되는 것이다.
    리포트 | 9페이지 | 3,000원 | 등록일 2022.06.27 | 수정일 2022.06.28
  • [기초전자회로실험2] Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    PLD(Programmabe Logic Device) 이며 특징은 I/O블록, 0 내부에 소형 Logic Cell 배열되고 Logic Cell간에 배선, 구조적으로 Gate Array ... 가지고 있지 않다.Flip-Flop① clock edge에서 동작한다.② clock의 전환으로 출력의 상태를 바꾼다.래치와 플립 플롭은 정보를 저장하는 기본 요소이다. ... , 이용자가 직접 프로그램 가능(Field Programmable Gate Array)이다.?
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • [기초전자회로실험1] "Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 (NAND2, NOR2, XOR2)" 예비보고서
    PLD(Programmabe Logic Device) 이며 특징은 I/O블록,0 내부에 소형 Logic Cell 배열되고 Logic Cell간에 배선, 구조적으로 Gate Array ... AND|비트 OR~비트 NOT^비트 XOR^~, ~^비트 XNOR● Verilog HDL의 설계방식우선 HDL(Hardware Description Languages)은 C++, ... 실험목적① Hardware Description Language(HDL)을 이해하고 그 사용방법을 익힌다.② Field Programmable Gate Array(FPGA) board의
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.18 | 수정일 2019.03.29
  • [기초전자회로실험2] FPGA Board를 이용한 FSM 회로의 구현 예비보고서
    PLD(Programmabe Logic Device) 이며 특징은 I/O블록, 0 내부에 소형 Logic Cell 배열되고 Logic Cell간에 배선, 구조적으로 Gate Array ... 15Ripple up counter using J-K flip flop- J-K flip flop를 toogle 상태로 만들어준다. ... 즉, 하강 에지에서 변화한다.Ripple down counter using J-K flip flop?Qd?Qc?Qb?Qa10진수?1?1?1??115?1?1?1?014?1?1?0?
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 고려대 디지털시스템실험 (9주차 RAM)
    , CPLD(Complex Programmable Logic Devices), FPGA(Field Programmable Gate Array)가 있다.RAM의 경우, 전원이 꺼지면 ... (Programmable Logic Device)는 장치의 Hardware 안에 같이 구성되는 bit들을 구체화하는 Hardware Procedure를 말하며 ROM, PLA, PAL ... (이벤트가 발생하지 않을 경우 값을 유지)앞의 예제에서 클럭의 상승에지에서 순차회로가 동작하게 되는데 다음 상태의 count값은 현재 상태의 count 값에 1을 더한 값이 저장됩니다
    리포트 | 8페이지 | 1,000원 | 등록일 2018.10.14
  • fpga란
    FPGA (Field Programmable Gate Array) 는 PLD(Programmable Logic Device) 와 프로그램이 가능한 내부선이 포함된 반도체 소자이다. ... CPLD는 기본구조를 PAL(Programmable Array Logic)에서 가져왔고, FPGA는 ASIC 의 Gate Array에서 가져왔다. ... CLB(Configurable Logic Block), 연결부(programmable interconnection) 그리고 IOB(input&output block) 이다.CLBCLB는
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증" 예비보고서
    여기서 + 와 - 는 덧셈과 뺄셈을 나타낸다.① 논리 연산자에는 Logical AND (&&), Logical OR (||), Logical NOT (!) 이 있다. ... 감산을 할 수 도 있는데 빼려는 수를y _{3} y _{2} y _{1} y _{0}라 할 때y _{3} y _{2} y _{1} y _{0}를 인버터를 이용해 1의 보수로 만들고C ... 응용하여 4-bit Adder의 설계 방법을 익힌다.③ 4-bit Adder를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다회로부품Field Programmable
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.19 | 수정일 2019.04.01
  • 전기직 면접대비 전공 예상질문- 공무팀, 설비관리, 생산관리, 품질관리, 현장직, 관리직
    교류발전기는 슬립링을 통해 전류가 공급된다.4..PLC 자동화라인에 있는 장비를 제어 구동하기 위한 제어장치Programmable Logic Controller의 약자로 간단히 PLC라고도 ... 하며 Programmable Controller 또는 Sequencer라 한다. ... 피상전력은 무효전력과 유효전력의 합으로 유효전력과 피상전력의 각의 @라고 했을 때 역률은 cso@로 나타 낼 수 있고 cso@=1이 되었을 때 역률이 100%가 된다.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2016.06.24 | 수정일 2024.04.24
  • PLC Overview (영문발표자료)
    An exampleIndexIntroduction of PLCPLC - Programmable Logic Controller - PLC or Programmable Controller ... logic. ... latching logic for motor control - Counters can be used for monitoring product amountsAn exampleAn application
    리포트 | 28페이지 | 1,000원 | 등록일 2017.04.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대