• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(59)
  • 리포트(58)
  • 자기소개서(1)

"Stopwatch 설계" 검색결과 41-59 / 59건

  • vhdl 디지털 탁상 시계 설계
    표시Stopwatch: 입력받은 시간을 제어부에서DownCount하여 시간이 되면 알람.Alarm: 알람설정을 입력 받아 소리와 스탠드를 통해 표시.발표자료(기능별 설명서)순서항목평가상세설계 ... 모드 선택으로 현재 시각 표시 모드와 STOPWATCH 기능 모드, 알람 설정 모드를 선택할 수 있는 입력이 필요하다.나. ... 2010학년도과목교수이름학번설계작업서성결대학교 정보통신공학부모둠설계제안서(계획서)-목표순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 : 현재 시각
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • [디지털설계] 디지털 시계 설계
    프로그램 설계1) Basic Part2) Mode Select Part3) Watch Part4) Stopwatch Part5) Out Part※ 최종 프로그램 시뮬레이션3. ... Altera Chipset을 이용한 Digital Watch 설계담당교수 :학 과 :학 번 :성 명 :********************** 목 차 ***************** ... 앞으로의 내용은 디지털시계의 기초적인 설계에서부터 하드웨어 제작까지 일련의 과정을 상세하게 나타내었다.디지털화, 집적화를 추구하는 흐름에 맞추어 엔지니어로써 능력을 배양하게된다.Digital
    리포트 | 36페이지 | 1,000원 | 등록일 2005.06.19
  • 카이스트 전자공학실험2 실험3 Digital Design Using Microcontroller (1st week) 결과보고서
    루틴의 수행이 끝나면 다시 원래 실행하던 프로그램으로 돌아가서 하던 일을 계속한다. polling방식과 interrupt방식은 각기 장단점이 있으나 일반적으로 interrupt가 설계하는데 ... ▷ 우리가 구현한 Stopwatch는 : Timer 1의 interrupt 우선순위를 결정하는 bit? ... 둘 째 자리(10ms단위)의 값을 1 증가시키고 20번 들어올 때 마다 소수점 첫째자리(100ms단위)의 값을 1 증가시키고 200번 들어 올 때 마다 sec값을 1씩 증가시켜 Stopwatch
    리포트 | 15페이지 | 2,500원 | 등록일 2011.11.06
  • [공정분석 및 개선] 조립 공정 분석
    서론1.1 프로젝트 개요Stopwatch에 의한 표준시간 설정 및 조립 라인 설계급변하는 환경에서 우수한 고객 서비스는 기업의 성패에 결정적 요인으로 자리 잡았다.
    리포트 | 22페이지 | 1,500원 | 등록일 2015.06.11 | 수정일 2015.06.15
  • 응용논리회로 텀프로젝트 제안서
    State Diagram① MODE : 시계의 전반적인 기능을 선택하는데 이용(시계, StopWatch, 달력, 알람)② Sub-Mode : 시간, 날짜 등을 변경하는 세부적인 설정을 ... 응용논리설계 및 실습Final Term Project(디지털 시계 설계)1. ... Term Project 주제 및 설계설명- 디지털 시계 설계- 시(Hour), 분(Minute), 초(Second)를 가지는 시계를 표시 및 설정 할 수 있고, 월(Month), 일
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • VHDL-Final Project Digital Clock 만들기 <- A+
    Stopwatch 에 대한 LCD , Global clock 에 대한 LCD 하나, Alram clock 에 대한 LCD 하나씩 추가 하였고, 기본적인 원칙을 토대로 접근하였다. ... 스위치가 한 번 올라가게 되면, 카운트가 1증가하게 되지만, 더 이상의 카운트는 하지 않게 설계를 하였다. ... 설계시 기본 숙지 사항5. Digital clock 작성시 필요한 기본 이론6. 기본적인 기능들 설명7. 1조의 추가적인 기능들 설명8.
    리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    Stopwatch디지털시스템 실험 결과보고서실험제목@ 1. ... 디지털 회로를 설계한다. ... 한번에 Binary to 7Segment를 설계할 수 없어서 Binary to BCD 변환과 BCD to 7segment를 각각 만들어 연결하는 식으로 설계를 하였다.BinarytoBCD
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • [실험계획 및 분석] 작업 매뉴얼
    부적절한 의자의 설계는 요통과 같은 허리문제 뿐만 아니라 각종 근골격계 문제를 유발할 수 있다. ... 시간 측정방식은 StopWatch의 Continuous 방식으로 하였다. ... 최적화된 작업방법을 사내 표준화시켜 적용한다면, 효율적인 작업을 통한 생산증대를 노릴 수 있을 것으로 보인다.실험을 통해 검증하려고 했던 ‘작업설계 및 실험’에서 배운 내용들과 인간공학적
    리포트 | 22페이지 | 1,500원 | 등록일 2010.07.30
  • [VHDL] stopwatch 스톱워치 설계 / LPM Counter
    Problem) Stopwatch설계하시오.조건) ◎ 기본주파수 100Hz를 분주하여 1초의 주기를 갖는 Clock 설계.◎ Second와 Minute를 7seg 4개로 표시.◎ ... Start, Stop, Clear 입력.◎ 24시간과 요일을 나타내는 시계를 설계하라. ... 시계 및 Stopwatch 구성은 LPM을 사용하여 구성 할 것이고, 각각의 시간 표시 자리에서 다음 시간으로 연계 동작이 이뤄지기 때문에 동기식이 요구되어 Terminal counter방식을
    리포트 | 14페이지 | 1,000원 | 등록일 2009.02.08
  • Digit Ratio에 따른 파지폭별 악력과 선호도의 관계
    Methods)3.1 피실험자 정보본 실험은 근골격계 질환이 없는 성인 남성 25명, 여성 16명을 대상으로 진행하였다.3.2 실험장비실험의 공정성을 위하여 모두 동일한 노트북, Stopwatch ... 방 법 (Methods)……………………………………43.1 피실험자 정보43.2 실험장비43.3 실험 설계53.4 실험 과정53.5 분석 방법54. ... USB 6008을 사용하였다.[45mm] [50mm] [55mm] [60mm] [65mm] DFM(Double-Handle Force Measurement) System3.3 실험 설계
    리포트 | 14페이지 | 2,500원 | 등록일 2012.01.24
  • 스포츠마케팅,스폰서,스포츠전략,스포츠산업,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
    Stopwatch 와 GomPlayer 사용길게 노출되는 영상 집계 초 단위로 집계 소수점 2자리까지짧게 노출되는 영상 + ,Step2. ... Power Index) 지수란 : 소비자가 인지하고 있는 브랜드 자산에 대해 측정할 수 있는 구성 요소들을 인지도와 충성도에 대한 영향력과 표현력을 중심으로 구매행동예측이 가능하도록 설계
    리포트 | 30페이지 | 5,000원 | 등록일 2012.05.07
  • VHDL을 이용한 스탑워치(stop watch) 구현
    start버튼, stop 버튼이 눌리면 부저에서 소리가 난다.ⓒ LCD 상태(statement)에 따른 스탑워치 동작- STOPWATCH! ... Purpose- 지금까지 실습한 이론을 바탕으로 기억 가능한 스탑워치를 설계한다.- KIT를 통해서 원하는 스탑워치를 설계 해 보고 이론과 결과를 비교해본다.2. ... 있는 스탑워치를 설계하는 것으로, 다음과 같은 형태로 출력하도록 설계한다.ⓐ LCD는 한줄이 총 16칸으로 왼쪽부터 8칸에는 현재 스탑워치의 상태가 기록이된다.ⓑ reset버튼,
    리포트 | 29페이지 | 2,000원 | 등록일 2009.11.25
  • 소프트웨어공학적 방법을 이용한 프로젝트 수행문서
    용어 해설프로젝트 설계1. 시스템 설계2. 클래스 설계3. 활동 다이어그램4. 시퀀스 다이어그램프로젝트 구현1. 실행 화면2. ... 개요경고창 대화 상자StereotypePublicInterfaceOperationOperation(Parameter)Return설명OnInitDialog()BOOL다이얼로그 초기화 함수StopWatch ... 요구사항 변경주요 설계를 재작업해야 하는 요구사항에 대한 변경이 제안되면, 나선형프로세스의 이점을 살려 고객과 심도 있는 의사소통을 통해 변경에 대해 조절 후 프로젝트에 적용한다.다
    리포트 | 29페이지 | 1,000원 | 등록일 2010.01.08 | 수정일 2018.11.07
  • [자기소개서]2010년 지금까지 경험하지 못했던 자기소개서 2편
    VHDL 설계 프로젝트에서도 저만의 아이디어를 더한 디지털시계를 만들었습니다. ... LCD에 나타나는 Display화면을 4가지 모드로 선택할 수 있도록 하고 Stopwatch기능과 닭 우는 소리가 나는 간단한 아날로그 스피커 회로를 만들어 알람기능에 추가하였고 날짜 ... 전자회로 설계과목에서는 LCD와 Fan을 이용하여 온도가 LCD에 나타날 수 있도록 프로그래밍 하였고, 특정 온도 이상이 되면 Fan이 돌아가 다이오드를 식히게 되어 다시 특정 온도
    자기소개서 | 11페이지 | 3,000원 | 등록일 2010.05.04
  • 출혈시간 측정 혈병수축능측정 BT
    여러 가지 대조 실험을 설계하여 시행하였는데, 상처부위와 심장의 높이와의 관계를 알 기 위해서 귓불과 손끝을 가지고 실험을 하였다. ... Stopwatch? 소독용 alcohol② 과정? 70% alcohol 솜으로 귓불을 닦고 건조시킨다.? Disposable lancet으로 2~3mm 깊이로 천자한다.?
    리포트 | 6페이지 | 1,500원 | 등록일 2009.06.15
  • CELL line 구축(構築) Manual
    Stopwatch법 등으로 주 1회 Balance check를 하자. Step 2: 실제시간은 5회 측정하여 최소시간을 채용한다. ... 정확하고 현실적인 Data란, U-line 설계시점에서 실측치를 사용한다고 하는 것이다. ... 근무태도, 기능이 우수한 사람을 정확하고 실현적인 Data로 설계한다라고 주의한다. 논법1.
    리포트 | 25페이지 | 1,500원 | 등록일 2007.10.15
  • 휴대용 MP3_Player 데이터 전송시 브랜드/용량별 전송속도의 차이측정
    Player (각 회사별로 준비한 5가지 모델 USB1.1) ○ Computer (P4 2.67GHz, 512RAM, USB2.0) ○ 전송cable (각모델별 PC연결 cable) ○ StopWatch3 ... 실험설계실험목적의 설정Design of experimentsDesign of experiments휴대용 MP3_Player 데이터전송시 각브랜드별로의 전송속도차이와 저용량과 대용량간의 ... 실험설계Design of experimentsDesign of experiments실험목적의 설정본 실험은 구입조건에 있어서 디자인, 음질, 브랜드이미지등등이 있지만 기타요소는 다무시하고
    리포트 | 21페이지 | 2,000원 | 등록일 2006.12.09
  • 시계 만들기
    Digital StopWatch카운터 IC 와 FND의 응용으로 디지털 스톱워치를 구현한다.*스톱워치의 동작*O1. ... 했었는데 적당한 입력을 찾지못해 S1를 반전시켜 S1=0일 때 카운터가 가동되게 설계 S1는 스위치를 GND에 연결해 사용 Inorder: S1,S,; Outorder: A,B,C ... 1 00 0 0 110 0 0 S0 0 0 00 0 0 10 0 0 00A+B+C+DA+B+C+D+MERGEDS1=1S1=0A B C D/S1일 때 정지하고 S1일 때 시작되게 설계
    리포트 | 25페이지 | 1,500원 | 등록일 2006.11.24
  • [전자공학] 디지털시계 텀 제안서
    목 차1.목 표2.(1)(2)(3)(4)(5)동작이론분주기설계카운터설계시계 및 시 조정 회로 설계Stopwatch 설계시간표시 디코더 설계3.Pspice전체회로도4.사용소자 DataSheet1 ... 앞에서 설계한 카운터를 이용하여 시 계를 설계하며 시간을 조정할수 있는 시조정회로를 설계하여야 한다.키트에 세크먼트가 8개가 있으므로 시간을 표현하는 시, 분, 초 각 자리수 단위까지 ... 동작이론(1) 분주기 설계우리가 사용하는 키트는 가장 낮은 클럭으로 조정하여도 100HZ로서 디지털 시계를 설계에 쓰기에는 너무 빠른 클럭이다.
    리포트 | 15페이지 | 1,000원 | 등록일 2004.12.17
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 05일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:51 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기