• 통큰쿠폰이벤트-통합
  • 통합검색(399)
  • 리포트(392)
  • 시험자료(5)
  • 논문(2)

"edge trigger" 검색결과 41-60 / 399건

  • 아주대학교 자동제어실험 3번 실험 / 초음파센서 및 기울기센서 / 결과보고서
    이 신호를 Rise Edge로, 외부 입력 신호를 받는다. 그리고 이때부터 초음파가 물체에 갔다 돌아오는 시간을 타이머로 측정한다. ... 트리거 신호를 3번 핀 Trigger Pulse input에 보내주면 소자는 초음파를 발생시킨다. 이때 초음파 센서 4번 단자에서의 신호는 HIGH가 된다. ... 위 핀 배치도를 보면 알 수 있듯 약 5가지 정도의 단자 구분이 필요하다. 0V Ground 단자는 GND에 연결이 필요하고, Trigger Pulse Input 단자는 일반 포트를
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.06
  • [알기쉬운 기초 전기 전자 실험 (문운당)] 12. 오실로스코프_사용법_및_위상_측정 예비보고서 (A+)
    동기, 반시계 방향: Rising Edge에서 동기Ext Trigger In외부 동기신호를 사용할 경우의 동기신호 입력단자 그 외에도 Probe 보정용으로 CAL단자에 Probe의 ... 주파수에 동기되는 신호관측에 사용, EXT: 외부신호를 동기기준으로 사용하여 측정HOLD OFF미세 동기 조정용으로 사용Trigger Lever시계 방향: Falling Edge에서 ... ) 조정부Trigger ModeAuto: 동기신호가 있으면 자동으로 동기시킴, Norm: 동기신호가 없으면 수동으로 동기시킴, TV-V: 프레임 단위의 비디오 합성신호 측정, TV-H
    리포트 | 9페이지 | 2,000원 | 등록일 2023.12.31
  • [A+] 디지털공학실험 JK 플립 플롭
    /positive edge triggered)에서인지 트레일링-에지(trailing edge/ negative edge triggered)에서인지 확인한다.토글 모드에서 (J=1, ... 입력에 연결한다.LED를 클럭 표시기로 17-2(b)와 같이 연결하여 클럭 펄스와 출력을 동시에 관찰한다.4가지 입력 조합에 대해 시험하고 데이터 이동이 리딩-에지(leading edge
    리포트 | 7페이지 | 2,000원 | 등록일 2023.11.08
  • 서강대학교 디지털논리회로실험 레포트 6주차
    Positive-edge-triggered D latch그림 SEQ 그림 \* ARABIC 9. positive edge triggered D latch의 동작그림 8은 일반적으로 ... 많이 사용되는 positive-edge-triggered D flip-flop을 보여주며 그림 9는 이의 동작을 보여준다. ... 구간은 setup time이라고 하고 edge이후의 구간을 hold time이라고 한다.
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • [마이크로컨트롤러]외부인터럽트
    (falling edge) 에서 비동기적으로 인터럽트 요구 1 1 INTn 이 상승에지 (rising edge) 에서 비동기적으로 인터럽트 요구 INT0 INT1 INT2 INT3 ... : INT0 (Low 레벨 triggering), INT1( 하강에지 triggering) #include avr / io.h #include avr / interrupt.h ISR ... 설정 , EICRB : INT4~INT7 trigger 설정 ISCn1 ISCn0 설 명 0 0 INTn 이 Low 레벨에서 인터럽트 요구 0 1 - 1 0 INTn 이 하강에지
    리포트 | 13페이지 | 1,500원 | 등록일 2022.10.10
  • 서강대학교 마이크로프로세서 7주차 실험
    Lines 31-35에서 EXTI8의 초기화를 통해 Falling edge trigger 방식이 되도록 설정했고, EXTI_IMR을 set하여 falling edge 방향의 신호가 ... 단 이 경우 이전과 마찬가지로 체크가 되었다가 해제할 때 LED 상태가 변화하는 falling edge trigger 방식이다.- Step 20:해봤다. ... 이를 통해 falling edge이므로 FTR8이 체크되고, mask register인 MR8이 체크되었다. 또한, E다.
    리포트 | 26페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
  • D 래치 및 D 플립-플롭, J-K 플립-플롭 예비레포트
    입력 데이터가 정확한 레벨에 도달하기 전까지는 출력에 영향을 미치지 못하는 에지-트리거(edge-trigger)의 개념이 마지막 실험에서 다루어진다. ... 이와 다른 방법으로는 펄스-트리거(pulse-trigger) 혹은 마스터-슬레이브(master-slave) 플립-플롭이 있다. ... 이러한 플립-플롭에서는 데이터는 클럭의 리딩 에지(leading edge)에서 마스터에 입력되고 클럭의 트레일링 에지(trailing edge)에서 슬레이브에 입력된다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.10.09 | 수정일 2022.10.14
  • D-latch,D flip-flop,J-K flip-flop 결과레포트
    레벨 트리거는 상태 변수의 현재 상황을 기준으로 동작한다. d flip-flop은 edge-trigger를 하는 기억소자이다. ... 실험 결과-심층탐구 ch15. d래치 및 d플립플롭1.2. d 래치는 level-trigger를 하거나 clk을 사용하지 않는 기억소자이다.
    리포트 | 2페이지 | 2,000원 | 등록일 2022.08.22
  • 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    따라서 카운터의 동작 패턴을 구현할 때, 모든 플립플롭의 출력들을 기준으로 ‘현재 모든 출력 Q들의 상태가 어떤 상태일 때, 다음 trigger edge를 받으면, 다음 출력Q_{ ... edge 발생 시 맨 끝의 플립플롭의 출력 Q의 신호가 맨 앞의 플립플롭의 Q에 전달되도록 회로를 구성해야 한다. ... edge 발생 시 맨 끝의 플립플롭의 출력Q의 보수 출력Q’의 신호가 맨 앞의 플립플롭의 Q에 전달되도록 회로를 구성해야 한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 서강대학교 디지털논리회로실험 레포트 10주차
    positive edge)에 trigger된다고 판단할 수 있다. ... STEP11에서 설명했듯이 PSW1이 LOW인 경우 PSW0의 negative edgetrigger되고, 또한 PSW0이 LOW인 경우 PSW1의 negative edge(CLEAR의 ... 이를 이번 실험에서도 확인할 수 있었다.STEP 14) 실험에 사용된 one shot은 입력의 어떠한 상태 변화에 trigger된다고 판단할 수 있는가?
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • JK 플립플롭
    4조 DIP 스위치 1개이론 요약D 플립플롭은 동작 상태의 클럭 에지(edge)에서만 출력이 변하는 에지-트리거(edge-triggered) 소자이며, 단지 1을 저장하는 세트(set ... 길어지게 된다.시스템의 상태는 모든 플립플롭의 전이가 완료될 때까지 결정되지 않는다.동기식 카운터는 모든 플립플롭들이 하나의 공통 클럭에 연결되어 있어서 모든 플립플롭이 동시에 트리거(trigger ... 이 이유는 74LS76A 2조 J-K 플립플롭이 후미 에지(trailing edge)이기 때문이다.
    리포트 | 18페이지 | 4,000원 | 등록일 2021.10.13
  • 마이크로프로세서+4주차+예비보고서 인터럽트
    -트리거 방법▶Edge Trigger : 입력 신호가 변경되는 순간을 인터럽트 트리거로 사용하는경우▶하강에지(Falling Edge) 트리거 : ‘1’에서 ‘0’로 변경되는 시점을 ... 사용▶상승에지(Rising Edge) 트리거 : ‘0’에서 ‘1’로 변경되는 시점을 사용. ... ▶Level Trigger : 입력 신호가 일정 시간동안 원하는 레벨을 유지되면 트리거하는 경우 평상시 High(1)로 있다가 Low(0)로 변화되어 일정시간 유지되면 트리거 하게
    리포트 | 6페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 산부인과 장비의 이해
    Grasping Trigger Rotation nobe (360° Rotation) – Sealing 할 조직을 Grasping Trigger 로 잡음 Activation Button ... 을 눌러 sealing 을 진행 ( 한번 누르면 작동하고 다시 누르면 멈춤 ) Cutting 시에는 Grasping Trigger 가 완전히 당겨진 상태에서 Cutting Trigger ... 절삭 과정 동안 양성 또는 잠재적 인 암 조직의 파종으로 이어질 수 있는 가능성이 있음 . 25 - 6 Electrical morcellator■ Serrated Edged Macro
    리포트 | 27페이지 | 3,000원 | 등록일 2020.02.15 | 수정일 2021.06.30
  • 논리회로실험 예비보고서6
    1의 출력을 나타내는 데, J=1(S=1)일 때의 결과이기 때문에 Set이라 부른 Triple 3-input NAND gate>74HC74 : Dual D-Type Positive-Edge-Triggered ... gate는 1의 결과를 가지므로 출력 Q=1이다.DCQ(t-1)Q(t)0100011011011111x000x011-실험3) D F/F① 74HC74(Dual D-Type Positive-Edge-Triggered ... -D F/F클록신호가 0에서 1이 되는 riging edge에서 D의 입력이 반영되어 D=0의 경우 출력이 0, D=1의 경우 출력이 1이다.
    리포트 | 11페이지 | 1,500원 | 등록일 2020.09.18
  • 마이크로프로세서 실험 인터럽트
    -트리거 방법▶Edge Trigger : 입력 신호가 변경되는 순간을 인터럽트 트리거로 사용하는경우▶하강에지(Falling Edge) 트리거 : ‘1’에서 ‘0’로 변경되는 시점을 ... 사용▶상승에지(Rising Edge) 트리거 : ‘0’에서 ‘1’로 변경되는 시점을 사용. ... ▶Level Trigger : 입력 신호가 일정 시간동안 원하는 레벨을 유지되면 트리거하는 경우 평상시 High(1)로 있다가 Low(0)로 변화되어 일정시간 유지되면 트리거 하게
    리포트 | 13페이지 | 2,000원 | 등록일 2020.10.05
  • Counter 회로제작 (기초공학실습, KAIST)
    (J와 K는 항상 1로 고정되어 있다)즉, J-K flip-flop 은 Negative edged trigger 라는 것을 알 수 있었다. ... DISCUSSION & CONCLUSIONJ- K flip-flop은 Negative Edge Trigger 특성을 가진다.J-K flip flop 하나만 떼어 놓고 보았을 때, 두
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 전기회로설계실습 예비보고서 5. Oscilloscope와 Function Generator 사용법
    Trigger Key의 MENU를 누르라. ⑨ Trigger 메뉴 바 아래로 Type/Edge, Source/CH1, 빈칸, Slope/Coupling, Mode/Auto가 보이도록 ... 마지막의 Previous/Menu 키를 누르면 그 전 Trigger Menu로 돌아가며 ⑬ CH1 노란 키를 누르면 ? CH1 메뉴 바가 나오며 초기조정이 끝난 다.7. ... Slope/Coupling 옆의 키를 누르고 Trigger 메뉴 바 아래로 올라가는 화살표, Coupling/DC, Rejection/Off Noise Rej/Off가 보이도록 Function
    리포트 | 5페이지 | 1,000원 | 등록일 2024.06.22
  • 기초전자회로실험 - D래치및 D플립플롭 예비레포트
    들어간 상태에서 CLK에 trigger edge가 들어가면, 출력 Q는 현재상태를 유지하고, T 입력단자에 1이 들어간 상태에서 CLK에 trigger edge가 들어가면, 출력 Q는 ... (구조 : 마스터 슬레이브 방식) 이것도 D 플립플롭처럼 클락신호의 trigger edge에만 반응하여 위의 진리표대로 기능을 수행한다.[8](6) T 플립플롭:T 입력단자에 0이
    리포트 | 14페이지 | 2,000원 | 등록일 2021.02.27
  • 분자세포생물학 chapter 16, 17, 18, 20 정리본
    *-> microtubule-organizing center in animal cells centrosome cylcle >How being triggered? ... changes in actin filament assemblyThe cell sends out protrusions(돌출) as its “front” of leading edge ... regularly extends thin, flattened lamellipodia(plus ends close to the plasma membrane)as its leading edge
    시험자료 | 74페이지 | 6,000원 | 등록일 2024.07.09
  • A+ 중앙대 아날로그및디지털회로설계실습 족보 시험자료, 02 03 04 05 06 07 08 09 10
    Setup and hold 시간✅ Level-sensitive 래치 (NAND)-> 클락 신호로 다음 상태로 출력이 바뀌는 시간을 제어함✅ JK래치✅ Master/slave 플립플롭✅ Edge-triggered
    시험자료 | 16페이지 | 2,000원 | 등록일 2024.03.13 | 수정일 2024.03.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대