• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,288)
  • 리포트(2,105)
  • 시험자료(102)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 601-620 / 2,288건

  • max plus 이용한 디지털 논리 회로 실습 8세그먼트
    점선은 점등되지 않은 세그먼트를 의미하고 실선은 점등된 세그먼트를 의미한다. 6과 9에서 한 세그먼트는 점등되어도 되고 점등되지 않아도 된다는 것을 기억하라.다음에 주어지는 각각의 ... 서론문제] 아래의 그림은 특별한 8-세그먼트 디스플레이이다.X1X6 X2X8X5 X3X40부터 15까지의 숫자를 디스플레이하고 싶다. ... 본론11~15까지의 숫자로 나타내는 8세그먼트를 truth table로 나타내고 각각의 출력 X1~X8까지를 Boolean Equation 으로 나타내면 다음과 같이 나타낼 수 있다
    리포트 | 21페이지 | 1,500원 | 등록일 2009.05.21
  • 부경대 디지털회로실험 텀프로젝트(5진 동기식 업 카운터)
    BCD-to-7 세그먼트 디코더는 BCD 수에 대해 4개의 입력이 있고, 세그먼트를 선택하기 위한 7개의 출력이 있다. ... BCD-to-7 세그먼트 디코더는 BCD에서 10진수를 받아들이고 그 숫자를 나타내는 세그먼트를 선택하여 적당한 출력을 발생하는 조합회로이다. ... [그림1-1] 7 세그먼트 표시장치이 조합회로에 대한 진리표가 [표1-2]에 있다. 각 10진 숫자는 10진수를 표시하기 위해 적당한 세그먼트를 발광한다.
    시험자료 | 5페이지 | 1,500원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • [기초회로실험] 26장. 광전자 소자특성 결과레포트
    실험사진LED 양단전압강하7-세그먼트 표시기광결합기의 출력전압3. ... 고찰(1) 7-세그먼트 표시기로 숫자 6이 표시되게 하려면 어느 핀이 접지되어야 하는지 기술하여라.위의 표 26-2에서 보는 것과 같이 6이 표시 되려면 7 세그먼트의 ACDEFG부분에 ... )9ABCFG(7,6,4,9,10)DP5표 26-2 7세그먼트 표시기V _{S}[V]246810121416V _{out}[V]11.518.287.296.656.245.795.435.12표
    리포트 | 4페이지 | 1,500원 | 등록일 2016.01.16 | 수정일 2022.10.09
  • 국산차의 위기와 해결방안
    따라서 세계시장에서 경쟁할 수 있는 새로운 세그먼트(친환경, 고안전)가 필요해졌다. 그러므로 국산차 회사는 기술혁신을 통해 새로운 세그먼트에서의 경쟁력을 키워야 한다. ... 또한, '품질 스트레스'라는 항목에 대해서는 국산 차, 수입차 소유자의 각 52%, 59%가 별로 스트레스를 받지 않았다고 답했다. 7%p 정도 차이로 수입차에 대한 스트레스가 더
    리포트 | 2페이지 | 1,000원 | 등록일 2017.12.05
  • 전력 사용량 절감을 위한 전력 사용량 환산 디스플레이 시스템 개발(졸업작품)
    세그먼트자. 주 제어 모듈 전체 회로도7)조도 측정 보조 모듈가. 조도센서나. RS-485 (ATmega8 부분)다. 조도 측정 보조 모듈 전체 회로도3. ... 세그먼트[그림 15] 전력 사용요금 표시 세그먼트⇒ 전류센서에서 받아들인 전류값을 누적 환산하여 LED 세그먼트로 표현⇒ 대형 세그먼트의 경우 가격이 높아 임시로 소형 LED세그먼트 ... 전류센서- 계량기에서 빠져 나오는 전선에 연결하여 가정으로 들어오는 총 전류의 흐름을 파악하고 그에 따라 전류 값을 받아 들인다.○ 전류방향에 의한 센서의 출력 전압 특성[그림 7]
    리포트 | 24페이지 | 20,000원 | 등록일 2013.07.29
  • 자동판매기 결과보고서
    동전의 투입을 사용자가 알 수 있도록 7세그먼트를 이용하여 금액이 보여 질 수 있도록 한다.- 설계- 첫 번째 시도1. 소자 위치 선정 / 납땜하기2. 리드선 연결하기3. ... 세그먼트 하나에 맨 아랫줄만 불이 들어왔다. 세기가 약해서 전압을 올려야 선명하게 불이 들어오는 것을 확인할 수 있었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.11.29
  • API로 배우는 windows 구조와 원리
    는 API 호출에 이용되며, (3)은 프로세스와 스레드 전환에 이용되며, (4)는 하드웨어에서 발생한 이벤트를 통지하기 위해서 사용된다6.1 Windows에서의 API 호출처리순서7.1 ... 디스크립터세그먼트는 메모리를 영역별로 구분한 것 세그먼트를 정의하려면 디스크립터 필요 디스크립터 테이블은 필요한 세그먼트 수만큼 정의한 것이다 세그먼트 디스크립터는 8 바이트이며, ... 사용할 메모리 영역의 선두 주소, 세그먼트 크기, 속성등을 설정한다3.2 세그먼트 정의 구조3.3 세그먼트 속성4.1 I/O 특권레벨I/O포트 0x70에 접근하여 메모리 크기를 얻어내는
    리포트 | 19페이지 | 2,500원 | 등록일 2010.01.31
  • 디지털 로직 실험 수체계
    이 때, 7-세그먼트는 비활성화 되어 공란(blank)이 되고, RBO에 LOW를 출력한다. ... 따라서 15번 핀에 연결된 저항을 개방하게 되면 7-세그먼트의 f 부분 또한 개방상태가 된다. ... .□ BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 시스템 구성.□ 모의실험용으로 결함을 만들어 놓은 회로의 고장 진단.2-1.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.07.20
  • [디지털실험][설계과목]디지털 시계 만들기
    에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더와 7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다. ... 즉 TTL 7447은 0에서 9사이의 값을 입력받을 경우 7세그먼트 표시기에 우리가 실제 사용하는 숫자 모양으로 표시할 수 있도록 해주는데 착안하여 (b)의 진리표에 나타낸 것과
    리포트 | 18페이지 | 1,000원 | 등록일 2010.03.25 | 수정일 2014.11.18
  • AVR128을 이용한 FND 동작원리
    그림 3. 7-세그먼트 어레이?FND는 여러개의 7-세그먼트로 이루어져 있다. ... FND (7-세그먼트) 어레이여러개의 FND가 붙어 있는 FND 어레이가 있는데 종류는 애노드 공통(A형)과 캐소드 공통(K형)이 있다. ... FND(Flexible Numeric Display)FND(Flexible Numeric Display)를 7-세그먼트라고도 하는데 주로 숫자를 표시하는데 사용된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2009.10.15
  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    FND(Flexible Numeric Display) 제어- 7 segments -1. ... 실험 내용1) 7개의 조각으로 나뉘어진 LED에 입력신호에 따라 숫자나 간단한 기호 점등2) 제어 데이터에 따른 숫자 점등표시할 숫자Segment 제어 데이터(MSB ↔ LSB)ABCDEFG ... 활성화,비활성화를 지정해 주는 신호(Digit,6비트신호)를 이용한다.① 특성 세그먼트 선택하고 그 세크먼트에 디스플레이할 데이터 출력② 다른 세그먼트 선택하고 그 세그먼트에 디스플레이할
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 디지털공학 텀프로젝트 [랜덤 번호 생성기]
    출력을 의미한다. 7 - 세그먼트와 74ls47 IC chip 사이에 330옴 저항을 달아 준다.74LS90Decade Counter 혹은 상태가 10가지여서 BCD 카운터로 불리는 ... 핀 A0 - A3 까지는 BCD 데이터 입력을 받고 RBI 는 리플 공백 입력 이며 LT는 램프 테스트 입력 BI / RBO는 공백입력 / 리플 공백 출력 이며 a-f는 7 - 세그먼트 ... 출력 된 발진 주파수가 카운터로 입력되면 디코더를 거쳐 7 - Segment 에 숫자가 바뀌며 표시 되었다. 시행착오이번 텀 프로젝트는 첫 실습부터 마지막 실습까지 수업 시간에 내가
    리포트 | 8페이지 | 1,000원 | 등록일 2017.05.02
  • Verilog 세그먼트 카운터 소스
    1.Verilog 세그먼트 카운터 소스`define SEGMENT_0 7'b000_0001`define SEGMENT_1 7'b111_1110`define SEGMENT_2 7'b011 ... _6 7'b101_1011`define SEGMENT_7 7'b001_1111`define SEGMENT_8 7'b111_0000`define SEGMENT_9 7'b111_1111 ... _0000`define SEGMENT_3 7'b110_1101`define SEGMENT_4 7'b111_1001`define SEGMENT_5 7'b011_0011`define SEGMENT
    리포트 | 3페이지 | 2,000원 | 등록일 2009.07.20
  • 7-segment
    스위치 3개를 이용하여 7-Segment를 0~7까지 제어1(VCC)0(GND)1) 3개 변수를 이용한 진리표작성스위치 3개 : A , B , C7-segment 요소 : a , b ... 고찰1학기 자동차 실험에서 7-Segment 수업이 있었다. ... 당시에는 설계가 되어있는 논리회로를 스위치 조작을 통해 0~7을 출력하고 2진법으로 계산하는 간단한 실험 이었다.실험2에서는 수업시간에 논리회로를 이용하여 7-segment에 저항과
    리포트 | 7페이지 | 3,000원 | 등록일 2012.05.20
  • 기아 천리마 중국 진출을 통해 살펴본 마케팅 전략
    Tianlima)는 원래 현대의 엑센트를 모체로 하며 한국에서 성공한 경험을가지고 있어 신제품 출시에 별도의 개발 기간이 많이 들지 않아 평균적인 개발기간인 2년보다 훨씬 단축된 7개월 ... 동풍열달기아는 C1세그먼트 차종인 천리마를 우선적으로 투입하고, 향후 상향식(Bottom-up)전략에 따라 상위 세그먼트와 다목적 차량(multipurpose vehicle: MPV ... ) 세그먼트로 차종을 확대하기로 결정Tianlima)는 중국 고객의 니즈를 면밀히 파악한 후 기능과 성능을 확정했다.
    리포트 | 19페이지 | 2,000원 | 등록일 2016.10.23 | 수정일 2016.12.04
  • (예) 3. 수체계
    BCD수를 디코딩 하고 7-세그먼트로 표시해 주는 디지털 시스템 구성? 모의시험용으로 결함을 만들어 놓은 회로의 고장 진단.실험의 개요? ... 표의 출력 열에 7-세그먼트 디스플레이에 보이는 결과를 나타내어라.④ 이번 실험에서는 회로에 모의실험용‘결함’을 발생시켜 출력에 미치는 효과를 관찰한다. ... MAN42 7-세그먼트 디스플레이의 핀 번호는그림에 나타나 있다. 7447A는 16핀이고 MAN72는 14핀 인 것에 주의하라.전원을 인가하기 전에 디코더의 각 출력과 MAN72입력
    리포트 | 4페이지 | 1,000원 | 등록일 2015.12.11
  • 우리나라기업의 바람직한 글로벌전략에 대하여
    또는 시장 세그먼트가 가능하다.”그러므로 각 기업은 시장의 확산을 위해 글로벌화를 위해 많은 시도를 할 것이다. ... 현지 특화 제품으로는 수질을 고려해 정수 성능을 높인 정수기, 전력 공급이 끊겨도 7시간 동안 냉기를 유지하는 냉장고, 초음파로 모기를 쫓는 에어컨과 TV 등이 있으며, 현지화 전략은 ... internationalization of brands) 또는 국제 브랜드(international brands)로서가 아니라 전 세계, 즉 지구(globe)를 하나의 거대한 시장으로 전제한 소비자 세그먼트
    리포트 | 4페이지 | 2,000원 | 등록일 2018.09.18 | 수정일 2018.09.19
  • 현대사회와정보보호7장
    네트워크 기반 IDPS는 지정된 네트워크 세그먼트에서 네트워크 트래픽을 모니터링합니다.호스트 기반 IDPS는 단일 호스트 시스템의 변경 사항을 모니터링합니다.6. ... 확보합니다.- 이 전략을 통해 에이전트는 광범위한 공격이 탐지되었는지 여부를 개별적으로 분석하고 보고할 수 있습니다.- 이를 통해 시스템은 관력 공격을 시도하도록 구성할 수 있습니다.7.
    리포트 | 2페이지 | 1,000원 | 등록일 2018.07.17
  • 운영체제 연습문제
    세그먼테이션은 하나는 세그먼트의 기준을 유지하고 다른 하나는 세그먼트의 범위를 유지하도록 세그먼트 당 2개의 레지스터가 요구된다. ... 기법과 세그먼트 기법이 사용하는 테이블 크기를 비교하라.페이징 기법이 변환 구조를 유지하기 위해 더 많은 메모리 오버헤드가 요구된다. ... P)×0.1 sec + (0.3P)×8 millisec + (0.7P)×20 millisec0.1 = ?
    리포트 | 4페이지 | 1,000원 | 등록일 2016.08.07
  • 마이크로프로세서 키패드 단원 과제 Atmega128
    세그먼트의 값이 계속 증가하도록 했다.prac10-2.c- 키패드의 입력을 받아 7세그먼트의 숫자를 증가시키는 프로그램이다.- SW1을 누르면 1, SW2를 누르면 2, SW3을 누르면 ... 프로그래밍 소스메인프로그램.ckeypad.ckeypad.hseg7.cseg.7.hiseg.7.hiseg.7.c2. ... 프로그래밍 소스prac10-2.ckeypad.ciseg.7.ckeypad.hiseg.7.h2.
    리포트 | 5페이지 | 7,000원 | 등록일 2016.07.04 | 수정일 2021.05.30
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대