• 통큰쿠폰이벤트-통합
  • 통합검색(1,732)
  • 리포트(1,496)
  • 자기소개서(159)
  • 시험자료(44)
  • 방송통신대(22)
  • 논문(7)
  • ppt테마(3)
  • 이력서(1)

"디지털회로응용설계" 검색결과 621-640 / 1,732건

  • 카운터회로 (결과)
    참고문헌[1]『디지털 논리 회로 설계 원리와 응용』정차근, 도 서출판 미래컴 376p~413p[2]『디지털 시스템 실험 원리·응용·설계』이기수 외 5명, 한빛미디어 244p~254p ... 미리 이론으로 공부해보고 설계 절차에 따라서 설계해본 회로로 실험을 수행하였기 때문에 좀 수월하게 실험을 할 수 있었다. ... 목 적동기식, 비동기식 카운터를 설계하고 실험을 통해 동작을 확인한다.2. 서 론순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.03
  • 전전컴설계실험2-2주차결과
    이때 디지털 회로의 전압이나 전류의 정확한 값이 중요하지 않고, High또는 Low의 값만 중요시 하기 때문에 상대적으로 설계하기 쉽다. ... 이용하여 디지털회로의 Gate를 구현하는 것이다. ... ***101(4)응용예제 전가산기가산 기능.
    리포트 | 16페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • plc 이론
    베이직 언어 등PLC와 릴레이 비교공해방지 : 가축의 폐수관리 시스템, 폐기물 소각로, 정화조 시스템 물류 산업 : 적당한 생산량과 공급량을 조절하기 위한 자동창고 시스템 11 (디지털 ... PLC는 설비제어,대차 및 CONVEYOR CONTROL, JIG 제어, ROBOT, INTERLOCK처리, 생산서열지시 등의 용도로 활용됨. 11 주로 사용되는 MODULE은 DIGITAL ... 핸디 로더 - 제어시스템 완성 후 현장에서 간단한 수정,보완, 확인 하기에는 편리함. - 화면 크기 작고, 조작이 복잡하여 초기 설계 시에는 적합 치 않음 2.
    리포트 | 28페이지 | 2,000원 | 등록일 2014.08.21 | 수정일 2014.09.19
  • 광주.제조 서진산업
    학부시절의 전공프로젝트를 통해, 전자, 전기 H/W Analog회로 기초설계 , ‘FPGA를 이용한 8비트 마이크로프로세서 디지털 회로 설계’ 부터 시작하여, 졸업과제 ‘Atmega와 ... 구성원들과 많은 시행착오의 경험을 겪었고, 실질적 현장경험을 바탕으로 이론을 실전에 접목시키는 응용가능성을 배우며, 이를 통해 실질적으로 현장에서 소통하며 업무를 하는 역량을 길렀습니다
    자기소개서 | 1페이지 | 3,000원 | 등록일 2015.08.31 | 수정일 2021.03.15
  • LIG넥스원 자소서
    포기하고 싶을 때도 있었지만 특유의 도전정신으로 어려움들을 이겨낼 수 있었습니다.대학시절 전자회로, 디지털논리설계 등의 과목을 통해 설계에 대한 전반적인 내용을 배웠고, 이에 관한 ... 한편 H/W의 회로설계하고 스위치를 켜는 순간, 과전류로 Kinect가 터지는 일이 발생하기도 했습니다. ... 교수님께 여쭙고 전기 회로 서적을 보며 분석한 결과, 교류 신호의 특성을 간과한 채 설계를 했던 것이 화근이었고, regulator를 포함한 설계로 이를 해결할 수 있었습니다.결과는
    자기소개서 | 3페이지 | 3,000원 | 등록일 2014.04.20
  • 초전도의 정의와 발전동향
    , 예비설계를 마치고 코일감기와 코일지지에 대한 위험도 감소시험을 2004년에 완료했습니다.7. ... 즉, 초전도의 주요 특성인 완전전도성, 완전반자성, 조셉슨효과, 고자계 특성이 활용되어 전력기기, 의료기기, 반도체 공정, 환경, 교통, 거대과학, 정보통신, 계측기기, 디지털소자 ... 초전도의 응용초전도 응용 시스템은 에너지 / 환경, 교통, IT, 제조, 의료 등 우리 사회 인프라, 건강, 일상생활에 깊게 관여하고 있습니다.
    리포트 | 19페이지 | 1,500원 | 등록일 2015.03.30
  • 상명대학교 디지털 정보의 이해와 활용 과제1 (기출문제 요약)
    기계설계, 전자회로, 건축설계 등 2차원 설계도면 또는 3차원 모델을 작성할 수 있는 소프트웨어의 이름을 쓰시오 (오토 캐드)15. 1980년대부터 본격적으로 제조업에 적용된 이것은 ... 디지털 정보의 이해와 활용1~7강 복습 문제1강1. PC 란 무엇의 약자인가? (Personal Computer)2. ... (1)1)저장장치2)응용소프트웨어3)펨웨어4)운영체제4.컴퓨터의 두뇌에 해당되는 하드웨어는? (중앙처리장치)5. 컴퓨터 시스템 외부로부터 정보를 입력 받는 장치는?
    시험자료 | 12페이지 | 6,300원 | 등록일 2014.11.05 | 수정일 2018.07.24
  • 카피라이트, 카피레프트 의미, 유래, 각각의 주장과 근거, 나의 의견 ( copyright and copyleft )
    여기에 덧붙여서 저작권, 컴퓨터프로그램, 그리고 최근에는 반도체 집적회로배치설계권, 부정경쟁방지법상의 영업비밀 등을 통 털어서 신지식재산권이라 호칭하고 있습니다. ... 그 밖의 미술저작물, 건축물, 건축을 위한 모형 및 설계도서를 포함하는 건축저작물, 사진저작물, 영상저작물, 지도, 도표, 설계도, 약도, 모형과 그 밖의 도형저작물, 컴퓨터 프로그램 ... 그런데 인터넷은 정보의 무한복제(디지털)와 무한소통(네트워크)이 가능하게 해주며 이것은 지식의 독점을 막고 공유의 이상을 실현시켜줄 수 있는 중요한 수단이라고 생각합니다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.12.24
  • 전산학개론 내용요약정리
    초고밀도직접회로(VLSI), 터미널처리 속도 - 피코(10-12)초주기억 장치 용량 - 106 자 이상프로그래밍 언어 - 문제 중심 언어(Ada 등)응용 분야 - XKDLATpDJ링 ... W Leibniz)곱셈 기계 만들었지만 불안정* 오늘날 전자 계산기의 기본 원리와 같은 기계 설계 -19세기 영국 - 바베지(C. ... tube) 사용, 펀치카드에 의존처리 속도 - 밀리(10-3)초주기억 장치 용량 - 103 자 이상프로그래밍 언어 - 기계어응용 분야 - 과학 계산, 사무 통계세계 최초의 전자식 디지털
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2020.11.01
  • 전자회로실험 Oscilloscope의 활용
    아날로그나 디지털 오실로스코프가 많은 응용분야에 쓰이지만 각각은 일부 독특한 특성을 가지고 있어서 작업의 특성에 따라 좀 더 적합하거나 부적합할 수 도 있다. ... 디지털 오실로스코프의 프로브를 회로에 연결했을 때, 수직 시스템은 아날로그 오실로스코프에서처럼 신호의 크기를 조절한다. ... 오실로스코프의 용도를 살펴보면, 오실로스코프는 TV 정비사로부터 물리학자에 이르기까지 다양하게 사용되는 장비로서 전자 장비를 설계, 보수하는 이들에게는 필수적이다.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.07.28 | 수정일 2014.08.22
  • 순차회로 해석과 설계(결과)
    참고문헌[1]『디지털 논리 회로 설계 원리와 응용』정차근, 도서출판 미래 컴 376p~413p[2]『디지털 시스템 실험 원리·응용·설계』이기수 외 5명, 한빛미 디어 202p~214p ... 이 장에서는 플립플롭과 논리 게이트들로 구성된 순차회로를 해석하는 방법과 이들을 설계하는 방법을 알아본다. ... [3]『논리회로 소자 데이터 시트』 http://www.alldatasheet.co.kr[4]『Naver 백과사전』 http://100.naver.com[5]『디지털 논리회로』김노환
    리포트 | 5페이지 | 1,000원 | 등록일 2012.07.03
  • 디지털실험 예비 #4
    part3의 5-to-1 Mux, part4의 7-Seg를 응용하여 5개의 display를 갖는 회로를 완성하시오. ... (예비)Lab 4.디지털 실험이명진 교수님금(09:00 ~ 13:00)2007122043 김병주Due date - 2012.03.30. (금)1. ... 설계하라.0000010100111001011101110110111(H)1001111(E)0001110(L)1111110(O)00000000000000000000000000005.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 디지털 시계 설계 발표자료
    디지털 시계 설계목 차1. 서론 및 설계목표 2. 설계계획 3. 설계과정 4. 작동시범 5. 결과 및 고찰 6. 참고문헌*1. ... 설계 과정2) 회로arm_top.bdf*3. 설계 과정2) 회로pld_slave.bdf*3. 설계 과정2) 회로clock.bdf*3. 설계 과정3) BFM검증(rtl)*3. ... 참고문헌(주)휴인스 기술연구소,「ARM922T Core를 이용한 SoC 설계응용」,홍릉과학출판사 송태훈,「(ARM9 core를 이용한) 시스템온칩 및 임베디드시스템 설계」,홍릉과학출판사
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • [A+] 기초공학실험 레포트 열전달을 통한 온도, 압력 유량측정 실험
    압력표시기- 확산형 압력트랜스듀서와 전자회로를 조합하여압력을 디지털수치로 읽어낼 수 있도록 한 센서.- 압력스위치 기능 및 아나로그 출력기능도 갖춘 제품.- 압력스위치의 압력설정은 ... 실험 설계치VI. ... 디지털수치를 보면서 설정가능.라.
    리포트 | 18페이지 | 2,500원 | 등록일 2015.03.29
  • OP-AMP를 이용한 복합 증폭(결과)
    응용 및 사례마더보드 및 PC 전문기업 아수스(지사장 케빈 두, kr.asus.com)가 최상의 PC-Fi 환경을 구현하려는 오디오 애호가들의 니즈를 충족시키는 Hi-Fi USB디지털 ... 아날로그 컨버터(Digital-to-Analog Converter, 이하 DAC) ‘소나 에센스 원(Xonar Essence One)’을 출시한다고 밝혔다.소나 에센스 원은 하이엔드 ... 8배속 대칭 샘플링 기술을 적용했으며, 120dB의 신호 대 잡음비(signal-to-noise ratio,이하 SNR)와 오디오전문가 수준의 헤드폰 앰프, 11개의 효율적인 앰프 설계
    리포트 | 2페이지 | 2,000원 | 등록일 2012.10.11
  • 전기설비 전자공학 레포트
    시퀀스제어 방법과 응용기술 특히 건축설비에서의 하부 기기인 펌프 및 팬(콤프레서 포함)의 제어, 설계, 설치관점에서 관계되어 있으며 설비시스템 및 빌딩제어관점에서 종합적으로 연관되어 ... Digital과 Analog을 정의하고 그 적용분야를 들고 각각의 시스템의 차이는 무엇인가? ... 전기전자회로 기초, 시퀀스경보, 상태표시 및 전동기 시퀀스제어, 공압시퀀스제어 및 PLC제어를 중심으로 관련되어 있습니다.3.
    리포트 | 10페이지 | 1,500원 | 등록일 2013.12.22 | 수정일 2016.02.03
  • 서강대학교 기초전자공학실험 7주차 결과보고서
    발진회로, 변조회로, 증폭회로, 펄스회로 등 그 응용분야는 다양하다.5. 참고문헌J. D. ... 다음은 출력파형이다.설계 2. ... 5~10V인 설계조건을 만족시켰다.
    리포트 | 13페이지 | 1,000원 | 등록일 2013.04.12
  • 원광대학교 인터넷강의 미래사회와정보기술 기말고사 총정리
    - 의복/생활 섬유와 일체화③ 신체부착형- 피부 패치와 같은 부착형- 유연한 고분자 회로- 피부와 일체감④ 생체이식형- 생체에 전자장치 이식- 생체 친화 회로- 생체와 일체감* 액세서리 ... 마이크로소프트 의장- 90년대 PC능 정보 서비스”가제공되는 또 다른 생활 공간.* 스마트 홈 구성- 자체적으로 하나의 완벽한 정보 시스템으로 복잡한 구조를 가짐.- 홈 네트워크 구성도 설계해야 ... TV전달방식전파케이블/인터넷망인터넷망인터넷망양방향성없음있음있음있음콘텐츠방송사가 제작/ 확보한 콘텐츠케이블 / 통신 사업자가 확보한 콘텐츠온라인상에 유통되는 콘텐츠온라인 / 오프라인 상의 콘텐츠응용프로그램없음사업자가
    시험자료 | 49페이지 | 5,000원 | 등록일 2015.12.29 | 수정일 2016.03.09
  • [교육공학] 교육공학,교육방법,교수매체, 비교 분석
    목표명시, 내용선정 및 학습자 요소를 시청각 통신설계 밖에 위치하도록 함으로 써 완전한 체제접근 개념을 적용하지 못함4) 교수공학- 1970년 시청각교육국 → 미국 교육공학회로 개칭 ... 프로그램- 비디오테이프- 텔레비전 방송- 공중파 방송- 위성 방송- 케이블 방송디지털 방식- e-book- 컴퓨터 그래픽- 디지털 사진- 디지털오디오테이프- 오디오 CD- MP3- ... (digital)로 구별-전달채널전달방식시각매체청각매체시청각매체아날로그 방식- 책- 그림- 사진 및 슬라이드- 음반- 오디오 테이프- 라디오 방송(AM & FM)- 슬라이드/오디오동시
    리포트 | 19페이지 | 2,000원 | 등록일 2014.01.13
  • 비동기카운터
    이러한 카운터 회로는 입력으로 카운터 출력을 사용 어떤 디지털 회로로 '플래시'기능을 설계 할 필요를 제거 것이며, 또한 비동기 상응하는 것보다 훨씬 더 동작 속도를 즐길 것입니다.실험2 ... 디지털공학 및 실습평가비동기 카운터 회로 실험2012 . 11 . 16일1. 실험제목 : 비동기 카운터 회로 실험2. ... 모든 게이트 회로는 최대 신호 주파수, 전파 지연 첨가제를 만드는 비동기 카운터 회로 화합물이 문제의 설계의 관점에서 제한되어 있지만.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대