• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,509)
  • 리포트(2,327)
  • 시험자료(79)
  • 자기소개서(59)
  • 방송통신대(22)
  • 논문(17)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 621-640 / 2,509건

  • 73진 엔진 카운터
    설계 순서① 엔진 카운터 설계에 대한 이론들을 찾아본다.② 사용될 소자들을 미리 생각해보고 설계에 필요한 IC소자들의 Datasheet 및 사양을 확인한다.③ 73진 카운터 설계에 ... 0~99까지의 100진 카운터를 만들 수 있고, 그 기능을 이용하여 우리가 원하는 73진 카운터설계하고자 한다. 73진 카운터설계하고 나면 IC소자들의 사용 방법과 동작원리를 ... 설계 결론우리는 이번 설계에서 100진 카운터를 이용해 73진 카운터를 만들었고 74LS47, 74LS90, 74LS08, 7-Segment 소자의 기능과 사용방법에 대해서 자세히
    리포트 | 6페이지 | 3,500원 | 등록일 2012.10.30
  • #8 디지털실험 예비
    (금)Part2Lab7에서 이용한 1초 카운터를 이용하여 디지털 시계 설계[Function Simulation]50MHz의 주파수를 갖는 clock을 넣어줄 때 25M번 주파수가 움직였을 ... 모드 변경으로 ms counter 정지.Part48진수 시계 설계. ... 때, 0.5초마다 카운터가 움직인다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • VLSI Project-보고서-택시미터기
    120원의 요금이 추가4) 할증, 비 할증, 카운터 표시 스위치5) 요금표시팀원역할분담계획- 주제선정, 기능설정, 설계 블록도 작성, 설계 총괄(주설계), 제안 서 작성, 보고서 ... 작성, 보고서 퇴고- 전체적인 설계(부), 제안서 퇴고, 보고서 작성- 테스트벤치 설계로 시뮬레이션 확인 후 보고서에 추가1. ... - 최초 500원에서 2200원의 기본요금으로 시작기본 카운터가 다 되면 50부터 100원의 요금이 추가/할증 시에는 450원에서 2400원의 기본요금기본 카운터가 다 되면 45에서
    리포트 | 11페이지 | 2,000원 | 등록일 2018.11.18
  • 9장 비동기 카운터 10장 동기식 카운터
    있어 한번의 클럭 펄스의 변화가 동시에 각 단을 동작시키므로 순간적으로 동작형의 카운터라고 할 수 있으며, 고속 카운터에 이용되며 설계 방법은 다음과 같다.① 몇 진 카운터설계할 ... 동기식 카운터[목적]1. 동기식 카운터의 동작원리와 구조를 이해한다.2. 임의의 비트 및 진을 갖는 동기식 카운터설계 방법을 익힌다. ... JK Flip Flop으로 설계하는 과정은 다음과 같다.① 상태도 작성 : 2비트 카운터이므로 4개의 상태가 필요하다.② 상태 할당 : 4개의 상태이므로 2개의 Flip Flop이
    리포트 | 6페이지 | 2,000원 | 등록일 2012.12.10
  • [예비레포트] Asynchronous Counter
    비동기 업-카운터와 다운-카운터설계 및 분석2. 카운터의 모듈러스(moduls) 변환3. IC카운터 사용과 카운트 시퀀스 절단(truncation)3. ... 업카운터인지 다운카운터인지 확인한다. ... )(상향 카운터의 타이밍도)(4비트 2진 하향 카운터)(하향 카운터의 타이밍도)5.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.04.18
  • 디지털 시계 설계에 관하여
    BCD 카운터▲ modulo-6 카운터, BCD 카운터설계를 했다. 하지만 디지털 시계에서 쓰게 될 카운터로는 enable 제어신호를 갖는 카운터설계해야 한다. ... 디지털 시계 설계■ 디지털 시계 개요▲ 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. ... 그러나 시를 나타내는 12진 카운터의 경우에는 조금 복잡하다. 앞에서 시를 나타내기 위해 설계했던 12진 카운터는 하나의 카운터로 0부터 11까지 세도록 설계하였다.
    리포트 | 14페이지 | 2,000원 | 등록일 2008.07.02
  • 디지털실험 15예비 up/down counter
    실험 2번이 이미 컨트롤 입력에 따라 가산/감산 카운터를 스위칭 할 수 있는 회로이므로 다시 설계는 하지 않는다. ... 이때 제품의 생산개수를 카운터 하고 검사시 불합격점인 경우 생산개수가 감소한다. 실험가능한 회로를 구성하라.문제 1번을 위해 설계한 회로이다. ... 그 결과 3번째 클락으로 카운터 출력이 11(3)이 되었을 때 and게이트의 출력이 1이 나오고 알람이 울리게 된다.3. 8진 비동기식 up카운터를 D플리플롭을 이용하여 설계하라.jk-ff을
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • 마이크로프로세서 LED 구동보고서
    카운터22. 16비트 타이머/카운터 2개- 타이머/카운터1,- 타이머/카운터3직렬 통신 장치 란? ... 실행과 상관없이 하드웨어적으로 증가함으로 정확한 시간을 측정할때 사용, 또는 주기적인 펄스를 이용한 외부장치 제어에 사용1. 8비트 타이머/카운터 2개- 타이머/카운터0- 타이머/ ... 상태도를 기반으로 둔 ASM 차트를 이용한 제어 프로그램 설계 및 제작• 제어부의 동작을 개략적인 상태도로 표현• 3단계에서(결정된 회로에서) 마이크로컨트롤러와 연결된 출력 및 입력
    리포트 | 28페이지 | 1,000원 | 등록일 2019.06.02
  • 카운터 결과
    특히 10진 카운터설계할 때에 1010이 되면 클리어를 시키는 방법으로 설계를 할 수도 있고, 동기식 10카운터설계할 때에는 입력 J, K에 따른 Q값을 확인하여 원하는 출력을 ... 나오게 하려면 입력을 어떻게 넣어야하는지를 카르노 맵을 통해 확인하여서 설계를 하는 방법이 있다는 것을 알았다. ... 결과보고사항(1) 표 1의 (a)와 (b)를 이용하여 카운트-업 카운터와 카운트-다운 카운터의 동작을 확인하고 서로 비교하라.비동기식 십진 카운터와 동기식 십진 카운터는 실험 결과가
    리포트 | 5페이지 | 1,000원 | 등록일 2018.03.18
  • mon-n 카운터
    Mod-n Counter설계문제 1 : 0~F를 7-segment에 1초마다 증가 값 출력-JK Flip-Flop을 이용하여 Mod-16 Up 카운터설계한다. ... 1 : 0~9를 7-segment에 1초마다 증가 값 출력-Mod-10 Up 카운터설계한다. ... 비동기와 동기 카운터 무관하게 상태 변화는 Leading Edge와 Trailing Edge에서 출력 변화의 설계가 가능하다.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.11.11
  • 스톱워치 구현 보고서
    모두 실험회로에서 알 수 있듯이 비동기식 카운터설계했다. ... 기능을 이용하여 설계하는 방법에 대해 알아보면, 먼저 2진 비동기식 카운터 논리회로를 설계한 다음, 카운트 결과가 110일 때 0을 출력하는 논리회로를 구성하여 그 출력을 모든 플립플롭의 ... 회로를 설계한다.② 101 자리를 위한 mod-6 카운터 회로를 설계한다.③ 주어진 회로 구성도와 같이 두 회로를 직렬연결한 후 BCD-7SEG 디코더를 거쳐 FND에 연결하는 회로를
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다
    숫자를 출력할 수 있다.orcad 시뮬레이션orcad 시뮬레이션을 통하여 비동기식 counter의 A,B,C,D 출력값이 위의 1번표와 같이 나온 것을 볼수 있다.회로도 제작회로 설계위의 ... 이론과 orcad 시뮬레이션을 토대로 비동기식 counter의 출력A,B,C,D를 디코더를 이용한 7-segment에 입력한 회로도를 짜고 회로를 설계했고 이론과 같이 7_segment의 ... 해보았다.orcad 시뮬레이션에서의 출력 A,B,C,D와 이론값(위의 표.1)이 일치하는 것을 볼 수 있었고 이를 토대로 7447 디코더와 7_segment에 연결하여 회로도를 구성하고 회로를 설계하여
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.02 | 수정일 2020.01.22
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    REPORT교과목담당 교수님제출 날짜팀원전 공학 번이 름Digital Clock작품 개요주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 ... 맵실제 설계한 12진 카운터제작에 있어 사용된 소요 부품7 세그먼트 (FND) 10개DM74LS47 (BCD to 7 세그먼트 디코더) 10개DM74LS90 (Binary Counters ... 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • 논리회로설계실험 프로젝트_digital door rock
    Digital Doorlock의 개요☞ 주변에서 흔히 볼 수 있는 디지털 도어록은 FSM 이론과 카운터를 이용해 설계할 수 있는 대표적인 회로의 하나이다. ... 설계 관련 이론가. ... 이와 같은 디지털 도어록을 설계하기 위해서 기본적으로 필요한 개념이론들은 이 다음에 설명해놓았다.
    리포트 | 44페이지 | 4,000원 | 등록일 2012.03.20
  • 디지털시스템실험 7주차 결과레포트
    실험제목Sequential Circuit 설계 및 구현실험목표1. 동기식 UP/DOWN 카운터설계한다.(기본)2. 카운터를 이용한 Sequential Circuit을 설계한다. ... (엘리베이터)실험결과1.코드 및 해설=> 작동원리 : 입력된 층과 현재 층을 비교하여 입력된 층이 더 높다면, up 카운터를 실행하고, 더 낮다면, down 카운터를 실행한다. module ... 앞서 말했듯이 첫 번째 경우는, up카운터, 두 번째 경우는, down카운터, 세 번째 경우는, 실행되지 않는다. // 이 코드 안에는 카운팅되는 과정을 segment를 통해 보여주는
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.03
  • 시립대 전전설2 [9주차 예비] 레포트
    전자전기컴퓨터설계실험 ⅡPre report9주차: Display Control1. Introduction (실험에 대한 소개)가. ... Essential Backgrounds for this LabDisplayText VFD 제어 명령어Display Clear전체 화면을 지우고 어드레스 카운터를 DD-RAM 어드레스 ... Text VFD에 학번과 이름을 출력하되 길거리 광고판처럼 글자가 왼쪽으로 한 칸씩 슬라이딩되도록 설계하시오.(1)(2)(3)(4)(5)(6)(7)(8)(9)(10)(11)(12)(
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 디지털공학실험 10/e 21장 결과보고서 입니다. 저자 David.M.Buchla
    실험 목표 : 입력변수에 의해 제어되는 순차 카운터 설계 완성.설계카운터의 회로 구성과 테스트.5. ... 또한 데이터 선택기의 출력을 동기 카운터의 입력에 연결하여 입력 변수에 의해 제어되는 순차 카운터설계하였다. ... 결론 및 고찰이번 실험은 교통 신호 제어기를 설계하는 실험이다. D 플립플롭을 이용하여 동기 카운터설계하고 원숏을 이용하여 짧은 타이머를 구현하였다.
    리포트 | 3페이지 | 1,500원 | 등록일 2013.02.21
  • 디지털시스템 실험(동기식 up/down counter)+응용한 신호등 설계
    (기본)② 카운터를 이용한 Sequential Circuit을 설계한다. ... (7조)학번 : 2011171059실험제목Sequential Circuit 설계 및 구현실험목표① 동기식 UP/DOWN 카운터설계한다. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2014 전기전자공학부이름 : 전기전자전파공학부 고찬규
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.03 | 수정일 2016.11.10
  • 동기카운터 클럭
    CNT0 -- 비동기 카운터의 4비트 카운터에서 clk_out값만 빼고 설계 Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all
    리포트 | 9페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • VHDL 디지털 시계 digital watch
    [Schematic을 사용한 Digital Watch 설계][PIN 설정][RTL 뷰어](1) Digital watch(2) 1초 생성기(3) 60진 카운터(4) 12진 카운터(5) ... 실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다.2. ... 이를 Top-Down 구조로 연결, 협엽/분업 설계(Bottom-Up)예를 들어, 복잡한 설계는 팀 단위로 일을 한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:00 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대