• 통큰쿠폰이벤트-통합
  • 통합검색(1,808)
  • 리포트(1,461)
  • 자기소개서(256)
  • 시험자료(45)
  • 방송통신대(33)
  • 논문(9)
  • 이력서(2)
  • 서식(1)
  • ppt테마(1)

"디지털직접회로설계" 검색결과 641-660 / 1,808건

  • 논리회로실험) 부울대수의 간소화 예비보고서
    부울대수는 디지털 논리 설계에서는 필수적으로 사용되는 지식이다. ... 디지털 논리에서는 원하는 함수를 가진 회로를 정확하게 실행할 수 있는데 , 이때 많은 회로들을 간단한 형식으로 줄일 수 있게 한다. ... HDL 과정( 회로에 대한 구성 , 동작을 기술 )을 거치지 않고 , 설계를 할 수 있다.
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • VLSI Project
    주기적인 Signal의 개수를 헤아린다는 것은 시간을 계산할 수 있음을 의미하고, 이는 Digital System 설계 시 매우 유용하게 사용될 것이라는 생각에 우리는 Counter ... 총 4Bit를 출력하는 이 회로는, 4개의 JK Flip-Flop, 4개의 Inverter, 9개의 NAND Gate를 필요로 한다.또한 설계하고자 하는 Counter는 단순히 Bit를 ... Flip-Flop이다.( Schematic & Layout ) Design and SimulationSchematicCounter를 Schematic Design 하기 전 필요로 하는 Gate들을 직접
    리포트 | 11페이지 | 5,000원 | 등록일 2014.12.20
  • 디보쓰, DIBOSS, 국제경영 과제, 중소기업
    ◈DIBOSS 로고의 의미→ DIBOSS(디보스)는 Digital Boss의 약자로,“디지털 시대의 최고의 지배자”라는 의미이다. ... 그러다 LCD패널업체의 공급축소로 생산을 못하게 되어 직접 금형수정을 하고 LCD패널 수급처 다면화를 하였다. ... 그러나 합선으로 인해 화재위험을 확인하는 테스트에서 회로가 타는 현상을 보호회로로 해결하고 품질관리를 중시하는 일본시장에서 안전과 신뢰성위해 완성된 후에도 수많은 품질의 테스트들을
    리포트 | 5페이지 | 1,500원 | 등록일 2015.11.07 | 수정일 2018.10.28
  • 한국전력공사 면접 예상질문 리스트
    정해진 일정 전압을 송전하는 계통에서 각 기기별로 절연협조가 이루어져 있어야 설계가 잘 되었다고 할 수 있습니다. ... SCADA 시스템은 통신 경로상의 아날로그 또는 디지털신호를 사용하여 원격장치의 상태정보데이터 전력손실을 최소화하기 위한 것입니다. ... 밀폐방식의 가스절연개폐설비를 주체로 한 축소형 변전소로서 소요면적이 축소장점 - 완전 밀폐되어 있어 안정성이 높다, 신뢰도가 높고 보수가 용이, 소음적고 공사기간 단축단점 - 내부를 직접
    자기소개서 | 10페이지 | 3,000원 | 등록일 2019.03.01
  • 2018 삼성물산, 삼성전자, 자소서, 자기소개서, IT직무 , 삼성그룹, 삼성, 대기업
    이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업이었습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 소프트웨어에 관심이 많았던 저는 코딩하는 일이 재밌었고, 교육용 보드로 여러 가지 센서를 제어 해보는 것도 재밌었습니다.하지만 실패를 맛 본 건 임베디드 시스템 수업과 디지털합성설계 ... 직접 부딪치면서 4차 산업혁명을 대비하는 것이 지금부터 해야 할 일입니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.05.18
  • 하이닉스반도체 자기소개서, 최종합격, 자소서, 우수예문
    또한 근래에 시변전자장의 개념을 이용한 시스템, 부품 및 응용전자분야를 접할 수 있었습니다.6.디지털공학-A+디지털 회로(조합회로 및 순차회로)를 이해하고 해석 방법 및 설계 방법을 ... 전자회로실험과 종합설계 수업에서는 직접 납땜을 하고 MOSFET, BJT 등의 소자를 직접 다루어 보며, 실무적인 지식을 쌓았습니다. ... 또한 회로설계 프로젝트로 ‘해당 주파수에 따라 바뀌는 신호등’을 제작하였습니다.9.전자공학실험3-AOP-Amp를 이용한 전자회로, 디지털 집적회로를 이용한.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2014.07.14
  • Decoder, encoder와 multuplexer, demultiplexer 결과 report
    출력단자는 직접 접지 또는 +5V에 접속하면 IC가 파손되므로 주의하라.- 실험 시 BreadBoard 위에 11.1의 회로 7442를 구성하였다. ... 8선 decoder를 설계하라.2. Inverter와 AND gate를 사용하여 3선 ? 8선 decoder를 설계하라.3. ... Inverter와 AND gate를 사용하여 4*1 multiplexer를 설계하라.7. Inverter와 AND gate를 사용하여 1*8 multiplexer를 설계하라.8.
    리포트 | 9페이지 | 2,000원 | 등록일 2015.11.01
  • 전자회로실험(오디오 증폭기 설계)
    전자회로실험 결과보고서14장. 오디오 전력 증폭기설계 및 제작1. ... 토대로 브레드 보드에 직접 옮겨 실험을 진행하였다.그림 4.2.1 오디오 앰프 회로도 및 브레드보드 구성먼저 브레드 보드에 회로를 구성한 뒤 전원을 인가하여 각 트랜지스터의 직류 ... 실험 목적지금까지 배운 바이폴라 토폴로지(CB, CE, CC) 및 전자회로의 기본지식으로 오디오 전력 증폭기를 설계하고 실제로 회로를 제작함으로써 전자회로를 더 깊게 이해하고 제작
    리포트 | 20페이지 | 5,000원 | 등록일 2015.10.01
  • 아주대학교 논리회로실험 실험6 예비보고서
    래치와 플립플롭을 사용하는 전형적인 디지털 시스템은 미리 구성 되어 있으며, 표준 직접 회로를 기능적으로 규정한 소자이다. ... 미리 패키지화된 소자들의 동작을 잘 이해하고, ‘크래치에서’ 래치나 플립플롭을 구성하는 능력을 키우는 것이 디지털 설계 연습 및 실험에서 가끔 필요하기 때문이다.모든 디지털 설계자들은 ... 또한 대부분의 디지털 설계자들은 연속적인 입력을 관찰하고 언제든지 출력을 바꿀 수 있는 순차 회로를 래치라 한다.플립플롭 (flip-flop) 또는 래치(latch)는 1 비트의 정보를
    리포트 | 13페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • FPGA를 이용한 디지털 시스템 설계(인하대) MUX, Decoder, Comparator 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTMUX , Decoder , Comparator 설계1. ... 나타내면 다음과 같다.출력회로는 출력bit와 동일한 개수의 AND게이트를 사용하여 각 minterm함수를 구현함으로써 만들 수 있다.실제 Verilog코딩에서는 직접 회로소자를 연결하는 ... 해당되는 번호에만 1을 내보내고 나머지는 모두 0을 내보낸다.디지털회로에서는 보통 정보들을 이진(binary)코드로 표현하는데 n-bit 이진코드는 2n개의 다른 정보들을 표현할
    리포트 | 18페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 쌍극성 접합 트랜지스터 특징 결과보고서
    그리고 PNP와 NPN을 구분하기 위해서 디지털 멀티미터에 연결할 때 양과 음극을 반대로 연결하는 것 또한 알 수 있었다.구성된 회로를 실제로 구성하여 측정한 결과값을 Graph로 ... (별지) 측정 Datasheet2N3904 Transistor2N3906 Transistor결과보고서 전자회로설계및실험1 실험일: 2016 년 04 월 11 일 ... 어느 것이 PNP 인지 NPN인지 그리고 몇 번이 Base, Collector, Emitter인지 알 수 없을 때는 간단한 방법으로 직접 측정해서 구분할 수도 있다는 것을 알 수 있었다
    리포트 | 7페이지 | 1,000원 | 등록일 2016.06.18
  • 국민대 융합실험 레포트 신호 계측 및 연산 증폭기 실험2
    오실로스코프는 전자회로에서 처리되는 신호파형을 관측자가 눈으로 직접 볼 수 있도록 제공하여 기기들의 고장 탐지나 설계 업무를 효율적으로 수행할 수 있도록 해준다. ... 서론(1) 디지털 오실로 스코프 활용전자회로의 기본적 기능은 신호파형의 발생과 처리이다. 여기서 신호파형이랑 음성, 컴퓨터 데이터, TV영상신호 등을 들 수 있다. ... 부하효과(loading-effect)에 대해 조사하여 쓰고, 회로설계에 있어 Voltage-Follower의 중요성에 대해 논하시
    리포트 | 6페이지 | 3,000원 | 등록일 2015.06.12
  • 비반전증폭회로
    실험설계- 준비 도구 : 일반 저항 2개(1KΩ. 5KΩ), 연산증폭기(UA741), 납, 전선인두, 디지털멀티메터, 파워서플라이, 오실로스코프, 신호발생기- 실험 도구 설계(1) ... 목 적- 비 반전 증폭기를 통해 각각의 입력과 출력의 인피던스에서 안정된 전압이득을얻을 수 있다.- 이렇게 얻은 안정된 전압이득을 직접 실험하여 비교하여 오차를 구할 수 있다.2. ... 제목: 비 반전 증폭회로1.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.12.18
  • 시립대 전자전기컴퓨터설계실험1 9주차 결과레포트
    회로설계에 큰 문제는 없었고 저항을 계속 바꾸는 과정에서 실수를 하지 않게 주의하며 실험을 진행했다. ... 테브닌 등가회로, 노튼 등가회로를 통해 복잡한 회로를 간단히 해가면서 이해하고 해석하는 과정을 직접 확인해본 실험이었다. ... 이론값과 측정값의 오차도 크지 않았다.결론이번 실험은 이론으로만 배웠던 테브닌 정리, 노튼 정리, 그리고 최대전력전달에 대한 개념을 직접 회로를 구성하고 측정을 통해 확인해본 실험이었다
    리포트 | 26페이지 | 2,500원 | 등록일 2016.03.06
  • 대우조선해양 설계직무 최종합격 자기소개서
    DC모터의 정밀한 위치를 제어하기 위한 디지털 PID제어기, 모터드라이브 회로, 정역방향 제어를 위한 인버터회로등 다른 수업시간 때 배웠던 지식을 활용하여 시스템을 설계하고 제어해봄으로써 ... 그래서 이를 직접 해보고자 전장설계직무에 지원했습니다.◈넓은 시야◈계장설계와 관련 있는 자동제어 분야에 관심이 있어서 해당 과목들을 수강했습니다. ... ◈전자회로 A+◈모든 전장 시스템의 하드웨어는 전자회로의 비중이 큽니다. 그만큼 전장 설계를 잘하려면 전자소자들의 응용방법을 통한 기본 회로 설계능력을 키워야 합니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2015.09.19
  • 문화기술과 사회변동 기말 9-15주차
    회로소자 및 필름 등으로 인해 발생하는 혼탁도(Haze: 헤이즈)를 2%로 대폭 낮춰 투명디스매장은 단지 제품들을 직접 만지고 보는 모델 하우스의 역할을 할 뿐이다.증강현실 모바일 ... Negroponte)가 '디지털화하기(being digital)를 주장했다면 2020년에는 이미 디지털화된 (been digital)세상으로 변화 돼 잇기 때문이다. ... 이와 함께, 투명 OLED는 자체 개발한 투명화소 설계 기술로 투명도를 30%이상 구현한 기술이다.
    시험자료 | 58페이지 | 2,000원 | 등록일 2015.06.09 | 수정일 2015.07.09
  • 2013년 1학기 컴퓨터의 이해 - 마이크로프로세서 발전과정, 산업에 기여한점, 최신동향, QR코드 조사 - 만점
    있으면 소비자들이 직접 상품 정보를 파악할 수 있어 활용도가 높아졌다.2. ... 최대의 핵심은 GPU의 설계로, 소비 전력당 성능을 올린 아키텍쳐를 채용하는 것으로, 프로세서 전체의 소비 전력을 내린다고 한다. ... 기능을 실행한다.2) 범용 레지스터 : 데이터 처리를 위해 데이터 저장한다.3) 특수 레지스터 : 특수 기능을 위해 설정하였는데 몇몇 선구자들은 공학 이외의 여러 분야에 전자식 디지털
    리포트 | 8페이지 | 3,000원 | 등록일 2015.02.19
  • 커패시터
    기초전자회로실험 및 설계2 예비보고서제목 : 커패시터1. ... C가 되는데, 이것은 전자 하나의 전하량보다 작다.- 시간, 주파수 및 페이저 표현x럼 축전기가 병렬로 연결돼 있고 전지가 연결되어 있으면 각 축전기의 극판에 전지의 단자들이 모두 직접 ... 준 비 물- 실험장비 : 함수발생기, 오실로스코프, 디지털멀티미터, RLC Meter- Bread Board, 장비 Probe- 소자 : 저항, 커패시터(사용가능한 소자 값은 Part
    리포트 | 11페이지 | 1,000원 | 등록일 2016.03.12
  • 상품의 생산관리 및 MIS
    MIS(Management Information System)무어의 법칙 (Moore's Law)인텔의 공동 설립자인 고든 무어가 1965년에 내 놓은 것 반도체 직접회로의 성능이 ... MIS(Management Information System)디지털 경제 (Digital Economy)인터넷 및 인트라넷 등의 디지털 통신 네트워크, 컴퓨터, 소프트웨어, 그리고 ... 생산관리의 역할 및 목표품질(Quality)고성능 설계, 균일한 품질품질제품 : 성능, 불량률, 폐기물 및 재작업의 비율로 측정 서비스 : 반응성 , 공감성 , 신뢰성 , 확신성 ,
    리포트 | 47페이지 | 4,000원 | 등록일 2016.12.05
  • The Tempeste 무대 디자인
    드로우커튼 및 배경막을 탈,부착식으로 설계하여, 원하는 위치에 설치 가능(무대깊이 조절 가능)무대기계 디자인 계획무대방식무대기계장치특징수동 세트 바튼 Hand Operated Fly ... +반사음은 실제로 청취자들이 듣는 소리로 직접음과 반사음이 합쳐서 들려지는 소리이다. ... - 입출력 되는 모든 신호를 처리하는 디지털 믹서 - Input :60Ch█ Surround Speakers - 뮤지컬 또는 영화상영을 위한 스피커 - 150W (하층 총 10대)
    리포트 | 27페이지 | 1,000원 | 등록일 2015.11.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:40 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대