• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,509)
  • 리포트(2,327)
  • 시험자료(79)
  • 자기소개서(59)
  • 방송통신대(22)
  • 논문(17)
  • 서식(4)
  • 이력서(1)

"카운터 설계" 검색결과 641-660 / 2,509건

  • 6.시프트레지스터와 카운터[예비]
    아래 그림은 동기식 업/다운 카운터를 나타낸 것이다.동기식 업/다운 카운터6. 7476 J-K F/F을 이용하여 4단 2진 Count-Up 리플 카운터설계하라.Q********* ... J-K F/F을 이용하여 4단 2진 Down 카운터설계하라.Q01010101010101010Q11100110011001100Q21111000011110000Q3111111110000000010진1514131211109876543210 ... NAND gate를 사용하여 Count-Up과 Count-Down할 수 있는 회로를 설계하라.① Count-UpQ001010101Q10011001110진01230123☞ NAND gate와
    리포트 | 8페이지 | 1,000원 | 등록일 2011.07.05
  • 생년월일 발생기
    수강하여서, 어려움도 있었지만, 조교님이 친절하게 설명해주셔서, 큰 도움이 되었던 수업었습니다.원래 카운터를 직접 설계하려고 했었지만, 생각처럼 쉽게 설계가 되지 않아서, TTL ... Term Project2. 3비트 8진 카운터3. 2to1 MUX 8진 카운터를 16진 카운터로 바꾸어준다.4. 8 to 1 MUX ; 생년월일을 선택 Select 로 카운터를 넣어 ... 소자를 이용해 회로를 구현하였습니다.생년월일이 제대로 나오지 않았지만, 설계를 수행하는 동안 생년월일이 제대로 나온 적도 있었습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.25
  • 논리회로 Term Project/디지털논리회로 설계 프로젝트/7Segment활용 논리회로 설계/실생활에 활용가능한 논리회로 설계
    먼저 음식의 수를 카운터 하기위하여 10진 카운터를 사용하였고, 10진 카운터의 2진수를 사람이 알아 볼 수 있도록 10진수로 표현하기 위하여 7세그먼트를 사용하였습니다. ... Digital LogicTerm project7SEGMENT를 활용한 논리회로 설계주방 모니터에 표현테이블에서 음식을 주문하면 주방모니터에서도 똑같이 나타난다.테이블에서 음식을 주문하면
    리포트 | 10페이지 | 2,000원 | 등록일 2018.08.19 | 수정일 2018.08.24
  • 논리회로설계실습-FSM-결과보고서
    최종적으로 작성된 코드와 하드웨어의 작동이 일치하는지 확인해 본다.실험 결과 스텝 클록(펄스) 발생 회로를 이용한 링 카운터설계해 본다.소스코드스텝 클록 사용 링카운터 작동 사진 ... 스텝 클록 발생 회로를 사용한 링 카운터는 스위치를 누른 시점에 대하여 한번씩 작동하도록 설계하여야 한다. ... 이후 스위치를 떼면 마찬가지 sw_out으로 0을 출력하기 때문에 스위치를 누른 순간에 대해서만 sw_out로 1이 출력되어 이후 링카운터설계할 때 누른 순간에 대해서 링 카운터
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 <7주차 예비보고서>
    설계 및 구현실험목표① 동기식 UP/DOWN 카운터설계한다.② 카운터를 이용한 Sequential Circuit을 설계한다.기본지식1. ... 여기에서 0~9의 숫자가 반복되면 10진 카운터라고 부른다. 이 카운터는 시스템을 설계하는데 중요하다. ... 카운터를 이용한 Sequential Circuit을 설계한다.?
    리포트 | 4페이지 | 1,000원 | 등록일 2017.07.05
  • [평생교육원,학점은행제] 디지털 공학 개론 과제
    안정적인 클록(clock)을 제공할 목적으로 설계되는 회로첫 번째 방법가정용 220[V] 전원의 안정된 60Hz의 주파수를 이용두 번째 방법세 번째 방법* 분주회로발진 회로로부터 ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명 하시오.* 디지털시계의 블록 다이어그램발진회로 → 분주회로 → 카운터회로 → 디코더 회로 → 표시회로* 발진회로디지털시계에 ... 얻어진 구형파를 이용하여 디지털시계의 기본단위인 1초를 나타내기 위한 1Hz주파수를 얻는 회로주파수 카운터임의의 주기적인 파형의 주파수를 측정하는 디지털 기기* 4가지 기본형 레지스터의
    리포트 | 6페이지 | 5,000원 | 등록일 2019.02.01 | 수정일 2019.02.15
  • 디지털공학실험 10/e 18장 결과보고서 입니다.
    실험 목표 : 업/다운 비동기 카운터 설계 및 분석카운터의 모듈러스 변경IC카운터의 사용과 카운터 시퀀스 절단(truncation)5. ... 실제 실험에서는 글리치를 쉽게 발견할 수 없었지만 실험 결과는 확인할 수 있었다.7493A를 사용하여 4비트(0에서 15까지) 카운터 회로를 설계하여라. ... 이 카운터는 업카운터인가 다운 카운터인가? ??
    리포트 | 6페이지 | 1,500원 | 등록일 2013.02.21
  • PLC 응용실험 보고서
    출력 사이의 관계와 동작을 정해주는 로직이나 가동순서는 PC를 이용하여 컴퓨터프로그래밍(예 : C 언어)으로 수행할 수도 있으며, ATmega128과 같은 마이크로컴퓨터를 이용하여 설계할 ... Programmable Logic Controler)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 제어로직을 설계할 ... 입력 릴레이 X1을 OFF, ON을 반복하여 카운터 C0가 6이 되는 순간, 프로그램 첫 줄의 b접점 카운터 C0가 순간적으로 OFF가 되어 보조 릴레이 M0 OFF, 출력 릴레이
    리포트 | 12페이지 | 1,000원 | 등록일 2019.06.16
  • A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 <7주차 결과보고서>
    Circuit 설계 및 구현실험목표① 동기식 UP/DOWN 카운터설계한다.② 카운터를 이용한 Sequential Circuit을 설계한다.실험결과1. ... 동기식 UP/DOWN 카운터설계한다. ... 카운터를 이용한 Sequential Circuit을 설계한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.07.05
  • [Flowrian] 문자 생성 광고 패널 회로의 Verilog 설계 및 시뮬레이션 검증
    이진 카운터decoder : Generic 디코더adpanel : 광고 패널 회로Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다. ... Generic 이 붙은 이유는 Verilog 코드에 parameter를 도입하여 다양한 종류의 이진 카운터나 디코더를 모델링하는 것이 가능하다는 의미이다.bincounter : Generic
    리포트 | 19페이지 | 2,000원 | 등록일 2011.12.23 | 수정일 2014.08.19
  • J-K 플립플롭을 이용한 동기식 카운터
    J-K 플립플롭을 이용한 동기식 카운터 회로도5. PSPICE를 이용한 시뮬레이션6. 고찰- 동기식 카운터를 이용하여 0~6까지 출력되는 카운터설계해보았다. ... J-K플립플롭 3개를 이용하여 출력된 BCD를 디코더를 통해 10진수로 바꿔 7-세그먼트에 0~6까지 반복해서 나타내는 카운터설계한다. ... 진리표를 작성한 뒤 카르노 맵을 이용하여 각 입력 J,K를 구하여 논리회로를 설계한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.18
  • [Ayeun]컴퓨터구조 CPU 설계 보고서
    컴퓨터구조 중간고사제목CPU 설계 보고서학과전자공학과학번성명제출일2018. 05. 06소요시간20시간*사전 이론 내용*1. 16비트의 CPU 설계의 레지스터기본 CPU에는 위와 같은 ... 이는 PC레지스터가 INR되기 위해선 많은 조건 중 하나만 충족시키면 명령어가 저장된 주소를 쉽게 올릴 수 있으므로 카운터가 쉬워 ‘프로그램 카운터’임을 잘 알 수 있는 조건이다.# ... 레지스터가 들어가 있다.컴퓨터 명령어는 보통 연속적인 메모리상에 위치하고 ,이것들이 한번에 하나씩 순차적으로 수행된다.따라서 다음 수행될 명령어의 주소를 알아낼수 있는 카운터 장치도
    리포트 | 22페이지 | 3,000원 | 등록일 2018.12.21
  • 카운터
    로드, 리셋이 가능한 업/다운 4비트 카운터1.
    리포트 | 2페이지 | 1,000원 | 등록일 2015.12.07 | 수정일 2015.12.10
  • [논리회로실험]특수 코드 카운터
    특수 코드 카운터[목적]1. 동기식 카운터의 한 종류인 여러 가지 코드 카운터의 동작원리와 구조를 이해한다.2. 상태 할당에 따른 여러 가지 코드 카운터설계방법을 익힌다. ... [기본이론]동기식 카운터설계 방법으로 우선 설계하고자 하는 회로의 상태도를 작성한 후 각 상태에 Flip Flop의 상태를 할당하는 상태 할당을 수행한다. ... 일반적으로 2진 카운터의 경우는 한 비트씩만 증가되도록 상태 할당을 수행한다.예를 들어 3비트 카운터의 경우 000→001→011→100→101→110→111→000의 순서로 변환하게
    리포트 | 10페이지 | 1,500원 | 등록일 2004.09.18
  • [Ayeun]디지털회로 텀프로젝트 디지털도어락
    키패드를 통해 입력된 값들을 3개의 신호로 바꿔 줄 인코더, 값을 저장할 D 플립플롭, 클럭을 만들어줄 4bit 카운터와 DEMUX 등을 이용하여 디지털 도어락을 설계한다.- 초기 ... 이러한 동향으로 볼 때 꽤 많은 부품이지만 모두 배웠던 부품이 들어가는 도어락을 설계해 보자라는 동기가 부여 됐다.디지털 도어락의 목적은 다음과 같다.- 비밀번호를 입력할 키패드와
    리포트 | 18페이지 | 2,500원 | 등록일 2018.11.08
  • 결과보고서 // 9.순서논리회로의 해석과 설계 10.비동기식계수기 11.동기식계수기
    *결론 및 고찰비동기식 count-up계수기와 count-down계수기는 생각보다 회로가 간단하여 어려움없이 실험을 성ㄱㅇ시킬 수 있었다.up-카운터와 down카운터의 회로와 펄스파형을 ... 실험3.비동기식 10진 계수기 설계십진계수기를 만들기 위해서는 1010(2)발생후 0000으로 되돌아가야한다.CLR을 이용하여 Q1과Q3에 1이 발생시 NAND게이트와 AND게이트를 ... 통해서 동작원리를 정확히 알게 되었다.10진 계수기를 설계할 때 교재에 있는 회로를 보고하였는데 제대로 작동하지 않았다.실험시간엔 시간이 모자라 원인을 파악할 수 없었는데, 교재의
    리포트 | 11페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • 팀 프로젝트 디지털시계 설계 및 구현
    이용해 설계할 수 있는대표적인 순차회로의 하나이다.가장 먼저 시간을 계산하기 위해서 시계의 가장 기본적인 단위인 1초를 회로에서 얻을 수 있어야 하는데, 우리는 10진 카운터를 사용하여 ... >< 카르노 맵 >실제 설계한 6진 카운터-6-10진 카운터 구현< 상태도 >현재상태다음상태ABCDABCD000000010001001000100011001101000100010101010110011001110111100010001001100100001010xxxx1011xxxx1100xxxx1101xxxx1110xxxx1111xxxx ... < 진리표 >< 카르노 맵 >-7-실제 설계한 10진 카운터12진 카운터 구현현재상태다음상태ABCDABCD000000010001001000100011001101000100010101010110011001110111100010001001100110101010101110110000DCBA000111100000X00111X11111X11000X0DCBA000111100000X00100X01111X01000X0
    리포트 | 11페이지 | 2,500원 | 등록일 2017.10.21 | 수정일 2017.12.27
  • 시립대 전전설2 [7주차 결과] 레포트
    전자전기컴퓨터설계실험 ⅡPost-report7주차: Sequential Logic 21. Introduction (실험에 대한 소개)가. ... 다음의 특징을 가지는 4-bit counter를 설계하시오.CLK 은 1 Hz 입력출력 : LED 4개 (modulo-16 방식으로 동작)비동기 reset 기능Enable 신호(버스 ... 버스 SW) : mode=1 : up, mode=0 : download 기능 : 입력 4비트 : 버스 SW, active-high 로드 버튼 : 버튼 SW4-bit counter 설계
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 스마트 신호등 - Smart traffic lights Design - VLSI설계
    설계작품 비교분석 및 고찰 최대 6bit 를 이용한 카운터와 비교기를 이용하여 신호등 4 개를 전부 설계 신호등 4 개 계획서 신호등 1 개 신호등 한 개를 이용해서 enable ... 입력에 따라서 4 개의 신호등을 구현 설계작품 VS 비교분석결론 및 제작 후기 3 결론 - 창의적으로 생각해낸 난수 발생기와 학업과정에서 배운 카운터 레지스터 비교기를 이용하여 하나의 ... 설계배경 설계 결과 결론 및 제작후기 1 2 3설계 배경 1 설계작품의 필요성설계 배경 1 설계목적 ○ 교통체증을 해결 ○ 교통량에 따라 신호등 제어 ○ 교통사고를 완화설계 배경 1
    리포트 | 19페이지 | 3,500원 | 등록일 2017.11.16
  • 디지털 시스템의 설계
    진리표나 상태표에서 논리변수의 수는 최대 6개까지 수작업으로 분석, 설계하는 것이 가능하였으며, 설계된 논리회로도 게이트, 플립플롭을 기본으로 하여 이들을 결합하여 카운터, 레지스터 ... 조합회로에서의 해석과 설계는 진리표 또는 기능표에 의했고 순차회로는 특성표와 여기표, 상태표 및 상태도에 의해 해석과 설계를 하였다. ... 그러나 게이트, 디코더, 멀티플렉서나 플립플롭은 물론이고 카운터, 레지스터 또는 메모리소자 등을 사용하여 구성된 규모가 큰 논리회로인 디지탈 시스템의 경우 하드웨어 구조와 하드웨어의
    리포트 | 17페이지 | 2,000원 | 등록일 2017.12.30
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대