• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(84,959)
  • 리포트(68,601)
  • 자기소개서(11,137)
  • 방송통신대(2,588)
  • 시험자료(2,158)
  • 논문(242)
  • 서식(140)
  • 이력서(50)
  • ppt테마(28)
  • 노하우(15)

"동기식" 검색결과 61-80 / 84,959건

  • [디지털회로실험] (실험9) 8비트 동기식 카운터
    시뮬레이션 결과실험9-(2) 74163 TTL 2개를 사용한 8비트 동기식 카운터? 회로도? 시뮬레이션 결과
    리포트 | 2페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [디지털 논리회로 실험] 15장. 비동기식 카운터 예비레포트
    동기식/비동기식 카운터의 차이점에 대해서 기술하시오.비동기식 카운터는 카운터를 구성하는 플립플롭에 인가되는 클록입력이 서로 다르지만 동기식 카운터의 경우에는 플립플롭의 클록 입력이 ... 카운터의 클록 구성에 따라 비동기식/ 동기식, 계수범위에 따라 2진/10진, 계수의 상태에 따라 업(UP)/다운(DOWN) 등으로 나뉘어 진다. ... 이 장의 실험 목적에 대하여 기술하시오.- 비동기식 카운터의 회로구조와 동작 상태를 이해한다.- 비동기식 카운터의 타이밍을 이해하여 동작원리를 배운다.- 플립플롭의 응용능력과 카운터의
    리포트 | 4페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • [디지털 논리회로 실험] 15장. 비동기식 카운터 결과레포트
    논리회로실험 A반결과 보고서15장비동기식 카운터5조이름결과실험일15.06.02제출일15.06.09측정 전압 ? ... 다운(DOWN) 카운터(1) IC 7476(Dual JK Flop-Flop)을 이용한 비동기식 다운 카운터회로의 회로도이다. ... DVM 등), 직류전원공급장치(DC Power Supply)디지털 실험 장치(Logic Lab), HD74LS08P, SN74LS76AN, HD74LS76AP실험15.2 3Bit 비동기식
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 4비트 동기식 카운터 설계
    1. t_ff 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tff isport (clk, t : in std_logic; q, nq: buffer std_lo..
    리포트 | 3페이지 | 2,000원 | 등록일 2011.04.03 | 수정일 2016.08.22
  • 동기식 카운터 예비보고서
    동기식 카운터는 n개로 구성된 비동기식 카운터 보다 전파지연 시간이 짧다. ... 1.실험 목표※동기식 카운터의 구조와 동작원리를 이해한다. ... 반대로 동기식 카운터는 한번의 클럭이 모든 플립플롭에 공통으로 인가된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.04.01
  • [레포트]이동통신- 월시 코드와 동기식,비동기식 차이
    Mobile TelecommunicationREPORT1.동기식 방식과 비동기식 방식의 차이2.Walsh CODE20071548전자과 박완규1.동기식 방식과 비동기식 방식의 차이동기란 ... 이 기준을 잡는 방법이 2가지가 있는데 하나는 동기식 방식, 또 하나는 비 동기식 방식이 있다.동기식 방식은 CDMA2000이라고 하여, 미국과 한국에서 사용 되어진다. ... ,기지국이 이동국을 호출하는 호출채널,실제 음성통화를 위해 사용되는 통화채널로 구분된다.W0PilotW32동기W1~W7호출W8~W63통화(W32 제외)Walsh CODE의 가장 큰
    리포트 | 5페이지 | 1,000원 | 등록일 2011.01.20
  • 동기식 카운터
    또한 전송지연은 동기식 카운터보다는 길어지고 비동기식 카운터보다는 짧은 특성을 나타낸다. ... 실험목적- 동기식 카운터의 동작 원리를 익힌다.- 리플 캐리 카운터의 동작원리를 익힌다.- 동기식 Modulus N카운터의 동작 원리를 이해하고 동작 특성을 익힌다.- 가산 카운터와 ... 사전보고서제출일학과전자공학과조학번조원이름성명Ch. 15 동기식 카운터(Synchronous Counters)- -1.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • 동기식 카운터
    동기식 카운터◆ 관련이론▶ 동기식 카운터순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다. ... 그러므로 비동기식 회로보다 전달 지연이 작고, 또한 glitch의 염려가 없고, 작은 전달 지연으로 인해 빠른 클럭 신호에 의해 구동할 수 있다.동기식 카운터▶ 동기식 다운 카운터동기식 ... 각 단을 트리거시키기 때문에 동기식 카운터라 하고, 비동기식 카운터 보다 동작 속도가 빠르다.아래 회로는 동기식 상승 카운터(Asynchronous Up Counter)로서 모든 플립플롭이
    리포트 | 6페이지 | 1,000원 | 등록일 2006.11.28
  • [VHDL] 동기식 10진 카운터
    실험 결과동기식 10진 카운터 Function tableCLRn LDnMode0 X1 01 1Asynchronous Clear : Q ... 목 적VHDL을 이용하여 동기식 10진 카운터 회로를 구현함으로써 순차회로에 대한 VHDL 설계 방법을 익히고 카운터 회로의 동작을 이해한다.2. 기초지식(회로도)3.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.23
  • 동기식 카운터
    동기식 카운터실험목적 기기 및 부품 이론 실험 절차 실험회로도 결과비동기식 카운터를 통하여 플립플롭의 기초적 응용방법을 배운다. ... Count-up 카운터결 과비동기식10진 카운터{nameOfApplication=Show} ... JK플립플롭(7476) , NAND게이트(7400)기기 및 부품비동기식 Count-up 카운터 란?
    리포트 | 10페이지 | 1,500원 | 등록일 2008.06.21
  • 동기식 카운터 예비보고서
    실험 이론동기식 카운터의 설계전 시간에서도 언급한 바와 같이 동기식 카운터는 순서 회로의 단순한 예이며, 외부 입출력이 없는 경우이다. ... 예비보고서 동기식카운터09.10.6정보통신공학부12061094김주엽1. ... 즉 일반적인 카운터 외에 특별한 순서로 발생하는 카운터도 설계할 수 있다.3비트 동기식 2진 다운 카운터의 설계3비트 동기식 2진 다운 카운터의 상태도를 표현하면 아래 그림과 같다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.12.28
  • 동기식 카운터
    동기식 카운터? ... 전송 지연은 동기식 카운터보다는 길어지고 비동기식 카운터보다는 짧아진다. ... 동기식 카운터란.플립플롭의 출력이 다음 플립플롭의 클럭으로 사용되는 비동기식 카운터와 달리 동기식 카운터에서는 클럭 입력이 외부로부터 제공되며, 모든 플립플롭의 클럭입력이 병렬로 연결된다
    리포트 | 3페이지 | 1,000원 | 등록일 2006.11.28
  • 동기식 카운터 (Asynchronous Counters)
    모의 실험 및 실험결과(1) 비동기식 Count-up 카운터 실험회로(2) 비동기식 10진 카운터 실험 회로5. ... 결 론이번 비동기식 Count-Up 카운터 회로와 비동기식 10진 카운터 실험 회로를 구현하기 위해 J-K 플립플롭을 직렬로 각각 연결하여 함수발생기를 이용하여 이들 플립플롭에 클럭 ... 제목 : 비동기식 카운터 (Asynchronous Counters)2. 소속 : 전자 정보 학부학번 :이름 :3.
    리포트 | 3페이지 | 2,000원 | 등록일 2010.11.12
  • 논리회로실험17 동기식 카운터의 설계
    설계한 회로와 그림 16-1의 회로를 비교해 보시오JbKbJaKa2) 이 실험에서 다룬 순차와 역순인 동기식 카운터를 설게하려고한다. 어떻게 해야 하는가? ... 동기식 카운터 설계▶ 실험 데이터 및 관찰표17-2 J-K플립 플롭의 천이표현재상태다음상태QcQbQaQcQbQa00*************010010110110100100000출력천이입력QnQn ... - 현재상태의 000 -> 100 의 순차를 100-> 000 으로 바꾸어 표를 그린후 동기식 카운터 설계 방법에 따라 위 실험에서와 마찬지로 다음상태표, 여기표, 카르노맵, 논리함수구현
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.08
  • [디지털 논리회로 실험] 12장. 동기식 RS 플립플롭 예비레포트
    동기식 플립플롭과 동기식 플립플롭의 특징에 대하여 기술하시오.동기식 플립플롭은 클록(CLK) 입력에 동기(Synchronnize)되어 출력이 발생한다. ... 이 장의 실험 목적에 대하여 기술하시오.- 동기식 플립플롭의 동작원리를 이해한다.- 동기식 RS 플립플롭의 동작원리와 응용회로에 대해 이해한다.- 동기식 JK 플립플롭의 동작원리와 ... 논리회로실험 A반예비12장비동기식 RS 플립플롭5조이름학번실험일15.05.12제출일15.05.121.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • [디지털 논리회로 실험] 12장. 동기식 RS 플립플롭 결과레포트
    111110- 고 찰 -플립플롭이 클럭의 상승 모서리(0에서 1로 변하는 시점)에 맞추어(동기되어) 출력 값이 변하도록 만들어 졌다면 이 플립플롭은 상승 모서리 트리거 방식 플립플롭
    리포트 | 2페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 동기식카운터
    카운터에는 리플 카운터(비동기식 카운터)와 동기식 카운터가 있다. ... < 비동기식 카운터 >1. 실험목적비동기식으로 리플카운터(ripple counter)형태의 이진 카운터를 구성하고 최대 동작 주파수 등의 회로특성을 측정한다.2. ... 리플 카운터(비동기식 카운터)는 첫 번 플립플롭만 클럭을 받아 동작하고 뒤의 플립플롭은 앞 플립플롭 출력의 영향을 받아서 상태가 변화한다.동기식 카운터는 모든 플립플롭의 CP단자에
    리포트 | 4페이지 | 1,000원 | 등록일 2007.12.07
  • [디지털 논리회로 실험] 11장. 비동기식 RS 플립플롭 예비레포트
    클록 입력이 없는 경우 비동기식 플립플롭이며 동기식 플립플롭은 클록 입력단자를 갖는다.대표적인 비동기식 플립플롭은 RS플립플롭이다. ... 이 장의 실험 목적에 대하여 기술하시오.- 플립플롭의 동작원리를 이해한다.- 비동기식 플립플롭과 동기식 플립플롭의 차이에 대해 이해한다.- 동기식 RS 플립플롭의 동작을 이해한다.2 ... 플립플롭의 종류는 크게 비동기식 플립플롭, 동기식 플립플롭으로 나눌 수 있다.3.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • CJ프레시웨이 식자재유통/식품영업직 자기소개서 합격샘플 - HR전문가 합격서류/CJ프레시웨이 합격자소서/지원동기 첨삭항목
    여러분이 선택한 계열사와 해당 직무를 지원한 동기는 무엇인가요? ... 여러분이 선택한 계열사와 해당 직무를 지원한 동기는 무엇인가요?
    자기소개서 | 3페이지 | 5,000원 | 등록일 2018.06.04 | 수정일 2019.06.14
  • counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다
    counter회로위의 회로의 비동기식 카운터는한주기로 반복되는 것을 볼 수 있다. ... REPORTcounter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다.수강과목 : 기초전자실험21.프로젝트 목적counter ... 연속적으로 연결하여 구성플립플롭의 연결숫자에 의해 count 개수가 결정counter의 종류-비동기식 카운터(asymchromous counter)첫 번째 플립플롭의 CP(clock
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.02 | 수정일 2020.01.22
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대