• 통큰쿠폰이벤트-통합
  • 통합검색(2,971)
  • 리포트(2,716)
  • 자기소개서(224)
  • 논문(13)
  • 시험자료(8)
  • 방송통신대(3)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"디지털회로설계및실험" 검색결과 61-80 / 2,971건

  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    용도 및 기능을 파악하고 설계Digital IC를 검증하는 방법을 익힌다.3. ... 프로세서나 기타 여러 가지 디지털 칩과 같은 특정한 집적회로설계하기 위해 사용된다. ... 실험 장비 및 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 결과레포트 [참고용]
    실험 명M2. 아날로그 및 디지털 기초 회로 응용2. ... 실험 개요앞서서 진행했던 아날로그 및 디지털 기초 회로의 동작을 아두이노를 이용해 되풀이하고 패키지 소자들을 이용하여 하드웨어 수작업으로 구현했던 회로와 비교했을 때 어떤 부분에서 ... KCL, KVL 현상 확인 (회로 내 모든 저항은 330Ω으로 통일)구성한 회로 만능기판 구성 회로V1 노드 전압 V2 노드 전압V3 노드 전압 저항 측정방법실험설계 내용: 만능기판에
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • [중앙대학교 2학년 2학기 전기회로설계실습] 예비보고서4 구매 시 절대 후회 없음(A+자료)
    < 전기회로 설계 및 실습 예비보고서 >설계실습 4. Thevenin 등가회로 설계과목명전자회로 설계 및 실습담당교수학과전자전기공학부학번이름실험실험일제출일설계실습 4. ... (b)R _{Th}를 구하는 실험회로설계하고 실험절차를 설명하라. ... Thevenin 등가회로 설계실습 목적 : Thevenin 등가회로설계, 제작, 측정하여 원본 회로 및 이론값과 비교한다.준비물※ 기본 장비 및 선Function Generator
    리포트 | 6페이지 | 1,000원 | 등록일 2023.01.26
  • [전기회로설계실습]실습4(Thevenin 등가회로 설계)-예비보고서
    실험 목적Thevenin 등가회로설계, 제작, 측정하여 원본 회로 및 이론값과 비교한다.2. ... 설계실습 계획서그림 1과 같이 이 부하인 브리지회로의 Thevenin 등가회로를 이론 및 실험으로 구하고 비교하려 한다.3.1 브리지회로에서 에 걸리는 전압과 에 흐르는 전류는 얼마인가 ... (b) 를 구하는 실험회로설계하고 실험절차를 설명하라.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.11
  • 설계실습 4. Thevenin등가회로 설계
    설계실습 4. Thevenin등가회로 설계1. 목적: Thevenin등가회로설계, 제작, 측정하여 원본 회로 및 이론값과 비교한다.2. ... 설계실습계획서그림 1과 같이 RL이 부하인 브리지회로의 Thevenin 등가회로를 이론 및 실험으로 구하고 비교하려 한다.3.1 브리지회로에서 RL에 걸리는 전압과 RL에 흐르는 전류는 ... VTh를 구하는 실험회로설계하고 실험절차를 설명하라.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.09.12
  • 중앙대 전기회로설계실습 결과보고서4
    요약: Thevenin등가회로설계, 제작, 측정하여 원본 회로 및 이론값과 비교한다.사용계측기:Digital Multimeter(KEYSIGHTE 34450A)1. ... 서론Thevenin 등가회로를 구현하는 것은 전기회로설계실습뿐만 아니라 앞으로의 전공에서도 중요한 의미를 가진다고 볼 수 있다. ... 따라서 실제로 복잡한 회로와 Thevenin 등가회로를 둘 다 구현해보고 이에 연결된 부하에 걸리는 전압과 흐르는 전류를 측정하여 같은 값을 보이는지 직접 실험을 통해 확인 해본다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.04
  • 수동소자의 고주파특성측정방법의 설계 결과보고서 (보고서 점수 만점/A+)
    요약:저항,커패시터,인덕터의 고주파 특성을 측정하는 회로설계하고 실험을 통하여 등가회로를 이해한다. ... 그 결과 약 25kHz~100kHz에서 인덕터가 커패시터의 특성을 보였다.기본 장비 및 선:Function generator:1대DC Power Supply:1대Digital Oscillo오실로스코프 ... 실험 1번은 저항과 커패시터를 연결한 RC회로에서 입력신호의 크기는 일정하게 설정하고 주파수의 크기를 점차 증가시켜 출력이 R인 RC회로의 전달함수의 크기를 실험을 통해 확인해보았다
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.06
  • 아날로그 및 디지털회로설계실습 4-bit Adder
    아날로그 및 디지털회로 설계실습예비 REPORT9. 4-bit Adder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 조합논리회로설계 방법을 이해하고 조합논리회로의 ... 참고문헌- 아날로그 및 디지털회로 설계실습 교재 ... 서론조합논리회로설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로설계한다.2. 실험결과9-3.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.15
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    솔루션을 제공하는 직무를 의미합니다.기술 동향반도체 디지털 회로 설계 기술의 최근 특기할 만한 변화는 다음과 같습니다:고성능 및 저전력 요구 사항이 증가하였습니다.새로운 재료와 소자 ... HDL 코딩, 시뮬레이션, 게이트 레벨 합성, 포스트 시뮬레이션을 통한 회로의 동작 및 특성 확인과 같은 반도체 설계 과정을 수행하고, 이를 토대로 회로설계 및 검증하고 고객에게 ... HDL을 사용하여 간단한 회로설계하고 시뮬레이션하는 방법을 배웁니다.(7) 저 전력 설계 지식반도체 디지털 회로 설계에서는 전력 소비를 최소화하는 것이 중요합니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    용도 및 기능을 파악하고 설계Digital IC를 검증하는 방법을 익힌다.3. ... 프로세서나 기타 여러 가지 디지털 칩과 같은 특정한 집적회로설계하기 위해 사용된다. ... 실험 장비 및 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    실험 목적인 부호변환 회로설계방법과 7 -segment 의 사용법을 익히는 것도 인지하고 실험에 임해야겠다. PAGE \* MERGEFORMAT 2 ... 예비 보고서인코더와 디코더 회로실험 목적Encoder와 Decorder의 기능을 익힌다.부호변환 회로설계방법을 익힌다.Seven-segment(숫자표시기)의 사용방법을 익힌다.이론상태 ... 실험을 하면 확실이 디코더와 인코더의 개념과 기능이 숙지 및 숙달이 될꺼 라고 생각하였다. 7 -세그먼트 표시기는 개념이 부족하여 예비보고서를 작성하면서 이론을 보면 숙지하였고.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 광운대학교 전기공학실험 실험7. 디코더와 인코더 결과레포트 [참고용]
    고찰본 실험을 통해 디지털논리회로 설계의 과정 중 NAND, NOR게이트로의 변환을 통해 공학적으로 더 나은 회로를 구성하도록하는 방법을 알 수 있다. ... 더해서 디코더와 인코더의 원리와 구성방법을 실험을 통해 이해하고 이를 응용 및 설계 하여 실용적 목적 회로 구성에 대한 연습을 진행한다.3. ... 수 있음을 실험적으로 확인할 수 있으며, E1, E2, E3등에는 순서 맞는 일종의 convention이 있어 이에 주의하여 회로를 연결 및 구성해야한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)10. 7-segment Decoder 회로 설계 할인자료
    중앙대학교 전자전기공학부, “아날로그 및 디지털 회로 설계 실습”, 75-86 ... 또 이 설계실습을 통하여 무엇을 배웠는가?디지털 회로의 명확한 결과가 나오는 것이 매력적이라고 생각한다. ... 회로도를 그리고 사용한 장비의 종류, 모델명을쓰고 장비의 연결상태를 그린다. 실험방법 및 손서를 다른 사람이 이해하기 쉽도록 자세히 서술한다.3. 결론10-5.
    리포트 | 3페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • 중앙대 전기회로설계실습 결과보고서9
    요약: RC 및 RL filter를 설계하고 주파수응답을 실험으로 확인한다.사용계측기:Digital Multimeter(KEYSIGHTE 34450A)1. ... 서론이전 실험들로부터 RC회로와 RL회로의 특성을 알게 되었다. 이번 실험에서는 인덕터와 커패시터를 이용해 LPF, HPF를 설계해보며 그 이름이 가진 의미를 확인해본다. ... 설계 실습 결과4.1 실험계획서에서 설계한 LPF, HPF를 조교에게 확인받을 것.실험 전 측정한 커패시터와 가변저항의 크기는 다음과 같다.C=11.26nFR=1.084kΩ4.2 (
    리포트 | 8페이지 | 1,000원 | 등록일 2024.07.04
  • 실험6. 산술논리연산회로 예비보고서
    실험방법 및 순서5.1 해당 실험의 기초 이론을 참고하여 [그림 6-2]의 산술연산회로를 결선하라. ... 실험기자재 및 부품4.1 사용기기■ 오실로스코프■ 디지털 멀티미터■ 함수발생기4.2 사용부품■ AND 게이트■ OR 게이트■ XOR 게이트■ 인버터■ 전가산기5. ... ▣ 실험6. 산술논리연산회로1.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • MOSFET 소자 특성 측정 결과보고서
    실험결과2.1 MOSFET 회로의 제작 및 측정 (A) 그림 1의 회로를 제작하여라. 이때   Ω으로 설정한다. ... 요약: 디지털 회로와 아날로그 회로에서 가장 일반적인 전계효과 금속 트랜지스터인 MOSFET을 사용하여 소자 특성을 측정 할 수 있게 설계하고 제작하였다. ... 서론트랜지스터로 간주되는 MOSFET은 아날로그 및 디저털 회로에 모두 사용되며 소스(S), 게이트(G), 드레인(D) 및 본체(B) 단자가 있는 4단자 장치로서 설계목표를 달성하기
    리포트 | 6페이지 | 1,000원 | 등록일 2023.01.21
  • [중앙대 전기회로설계실습 4 예비보고서] Thevenin 등가회로 설계
    목적Thevenin등가회로설계, 제작, 측정하여 원본 회로 및 이론값과 비교한다2. ... (b) 를 구하는 실험회로설계하고 실험절차를 설명하라. ... VmA3.3(a) Thevenin 등가회로실험적으로 구하려고 한다. 를 구하는 실험회로설계하고 실험절차를 설명하라.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.09
  • 7 segment Decoder회로설계
    아날로그 및 디지털회로 설계실습예비 REPORT10. 7-segment / Decoder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 7-segment와 Decoder를 ... 참고문헌- 아날로그 및 디지털회로 설계실습 교재 ... 서론7-segment와 Decoder를 이해하고 관련 회로설계한다.2. 실험결과10-3.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... IT CookBook, 디지털 논리회로. 조합논리회로. ... Digital Design with an Introducton to the Verilog HDL 5thedition3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안4
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 중앙대 전기회로설계실습 결과보고서10
    목적: 저항, 인덕터, 커패시터로 구성된 RLC회로의 과도응답 및 정상상태응답을 이해하고 실험으로 확인한다.2. ... 실습준비물* 기본 장비 및 선 Function generator: 1 대 DC Power Supply(Regulated DC Power supply(Max 20 V 이상): 1대 Digital ... 전체적으로 봤을 때 오차율이 크지 않았지만, 두 개의 실험에서 20%가 넘는 오차율을 보였다.
    리포트 | 12페이지 | 1,000원 | 등록일 2024.07.04 | 수정일 2024.07.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대