• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,098)
  • 리포트(1,875)
  • 자기소개서(182)
  • 논문(21)
  • 시험자료(14)
  • 방송통신대(3)
  • 서식(1)
  • ppt테마(1)
  • 노하우(1)

"모터제어 실험" 검색결과 61-80 / 2,098건

  • 자동제어실험_결과_아날로그 입력제어모터 제어
    실험제목 : 아날로그 입력제어모터 제어3. ... 결과분석 및 토의이번 실험은 아날로그 입력제어모터 제어에 대한 실험이었다. 외부 아날로그 입력을 받아서 A/D Converter를 이용하여 모터제어하는 것이었다. ... 실험목적- 아날로그 입력제어모터 제어- A/D Converter에 대한 레지스터와 개념을 이해한다.- A/D Converter결과를 Kit에 적용하는 방법을 실험하여 확인한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.07.17
  • 자동제어실험 스테핑모터
    스테핑 모터(Stepping motor)스테핑 모터란? ... 한 조가 stepmotor 하나를 구동L297 + L298 이용실험에서는 바이폴라 구동을 사용한다. ... 스테핑 모터(Stepping Motor)는 Step Motor 혹은 Reluctance Motor 등으로 불리워지고 있으며 산업용으로 뿐만 아니라 아날로그 시계에 이르기까지 광범위하게
    리포트 | 7페이지 | 1,500원 | 등록일 2010.03.20
  • 아주대학교 자동제어 실험5 서보모터 RC모터 예비보고서
    실험 원리1)스텝모터의 원리와 특성스텝모터(Step Motor)는 Stepping Motor 혹은 Reluctance Motor 등으로 불리고 있으며산업용으로 뿐만 아니라 아날로그시계에 ... 실험 목적-스텝모터의 원리이해 및 제어-RC서보모터의 원리이해 및 제어2. ... 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2015.10.16과목명: 자동제어실험교수명
    리포트 | 3페이지 | 1,000원 | 등록일 2016.10.10
  • 실험예비보고서 : DC모터 제어
    예비 보고서전기회로실험006반1. 실험 제목 : DC모터 제어2. 실험 목적1) ATmega128을 이용하여 PWM 사용 방법을 익힌다.2) PWM개념을 이해한다.3. ... 회전제어가 쉽고 제어모터로서 아주 우수한 특성을 갖는다. ... (온도제한)(5) DC모터와 스텝모터의 차이DC모터스텝 모터속도가 빠르다속도가 DC모터보다 느리다제어가 느리다확실한 제어이동거리 계산이 간편클로즈드 루프 시스템 (DC서보모터)오픈루프
    리포트 | 3페이지 | 1,000원 | 등록일 2012.01.27
  • 인하대 기계공학실험A 17년 1학기 모터제어 A+받은 자료
    2017년도 1학기기계공학실험 A< A-7 모터 제어 실험 >Report(오후 2조)A-1A-2A-3A-4A-5A-6인장 실험경도 및 충격 실험압력용기 및 좌굴 실험크리프 실험도립 ... 진자제어능동 진동제어A-7A-8A-9A-10A-11A-12모터 제어금속재료진동실험복합재료동역학실험동마찰계수 및 충격량 측정이 름 :학 번 :담당교수 : 강 태 준 교수님담당조교 :제출일자 ... A < DC 모터 제어>-PAGE \* MERGEFORMAT3- 인하대학교 기계공학과제출자XXX
    리포트 | 9페이지 | 2,000원 | 등록일 2017.12.28 | 수정일 2018.03.18
  • DC 모터 제어 실험
    (NE555를 이용한 PWM 제어)DC 모터 제어 실험- 실험 목적 DC 모터 구조와 동작원리를 이해. PWM을 이용한 DC 모터 제어 방법을 공부. ... NE555를 이용하여 직접 DC 모터제어해 본다.- 실험내용 PWM(NE555)을 이용한 DC 모터 구동 및 제어 실험DC 모터 구조와 동작원리를 이해.PWM을 이용한 DC 모터 ... PWM 제어방식을 사용하기 위해 NE555 사용.실험 회로실험 결과{nameOfApplication=Show}
    리포트 | 35페이지 | 2,000원 | 등록일 2008.04.23
  • [기초전기전자실험] 모터제어 / 로보틱스 실험 보고서 <A+받은 자료>
    실험 19 모터제어 / 로보틱스 실험 보고서목 차1. 실험목적2. 실험관련 이론3. 실험과정4. 실험결과 및 고찰5. 연구과제1. 실험목적? 로봇이 왜 필요할까? ... 실험관련 이론 ■ 로봇구성- 이 로봇은 모션 컨트롤, 서보 앰프 및 시퀀스 기능을 내장하여 본 제품만으로도 완벽한 제어 시스템을nnector, ⓗ 1st axis Motor Connector ... 위치 제어에는 속도를 제어하여 위치를 추종하게 되고 속도 제어에는 순간 가속도를 제어하여 추종하게 된다.서보 모터는 일반 모터와는 달리 빈번하게 변화하는 위치나 속도의 명령치에 대하여
    리포트 | 16페이지 | 2,500원 | 등록일 2016.01.03 | 수정일 2016.04.08
  • 전자회로실험II - 실험 9. DC 모터 속도 제어 및 측정 제 1주 예비보고서
    *예비보고서*실험 제목실험 9. DC 모터 속도 제어 및 측정조4조제 1주. PWM을 이용한 DC 모터 속도 제어1. ... 실험 이론DC 모터의 속도 제어기는 요구하는 속도를 만족시키기 위한 신호를 생성하고 이를 이용하여 모터를 구동한다. ... 본 실험에서는 PWM 변조기법을 이용하여 DC모터의 속도를 제어하는 구현이 용이한 개루프 제어기를 설계하고, 모터의 속도를 측정하여 표시하는 회로를 설계한다.2.1 DC 모터DC 모터
    리포트 | 15페이지 | 2,000원 | 등록일 2017.04.02
  • 전자회로실험2 PWM을 이용한 DC 모터 속도 제어 (결과보고서)
    다만 그 당시에는 그 DC모터 속도를 제어할 생각을 하지 않고 오로지 더 빠르게 돌길 원했을 뿐이다. 그러던 우리가 이번 실험을 통해 DC모터 속도 제어법을 배워보았다. ... PWM을 이용한 DC 모터 속도 제어◆ 설계 및 제작(2) 그림 3.1.19의 회로를 구성하고 DC모터의 회전 속도를 제어해 보아라.단 unit step 입력에 대하여 모터의 속도는 ... DC모터 제어는 PWM이라고 펄스폭을 변화시키고 듀티 비를 변화시켜서 제어하는 방식이었다. 어찌보면 당연한 결과인데 이전까지 관심이 없었던 것 같다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.08.28
  • 전자회로실험II - 실험 9. DC 모터 속도 제어 및 측정 제 2주 결과보고서
    DC 모터 속도 제어 및 측정제 2주. ... 포토 인터럽트를 이용한DC 모터 속도 측정① 실험 결과(1) 400Hz, 5V _{pp}의 정현파를 SN7414와 SN7404에 인가했을 때의 결과를 비교하라. ... 정확한 소자 값을 알았으면 결과를 얻었을 텐데 값이 주어지지 않아서 아쉬웠습니다.② 고 찰⇒ 이번 실험은 포토 인터럽트를 이용하여 DC 모터 속도를 측정하고 7-Segment로 출력하는
    리포트 | 2페이지 | 2,000원 | 등록일 2017.04.02
  • 전자회로실험II - 실험 9. DC 모터 속도 제어 및 측정 제 2주 예비보고서
    *예비보고서*실험 제목실험 9. DC 모터 속도 제어 및 측정조4조제 2주. 포토 인터럽트를 이용한 DC 모터 속도 측정1. ... 실험 목적(1) 포토인터럽트를 이용한 광학식 인코더의 동작을 이해한다.(2) Schmitt-Trigger Inverter를 통해 히스테리시스 특성을 이해한다.(3) 비동기식 카운터의 ... 실험 이론2-1. 포토인터럽트를 이용한 광학식 인코더포토 인터럽트는 발광 소자와 수광 소자를 하나의 케이스 안에 넣어서 만든 광결합 소자로써 구조는 그림 3.2.1과 같다.
    리포트 | 11페이지 | 2,000원 | 등록일 2017.04.02
  • 자동제어실험 (예비레포트) - PWM을 이용한 DC모터속도제어
    예상결과분석 및 토의이번실험은 pwm을 이용한 모터제어실험이다. pwm은 pulse width modulation으로 펄스 폭을 이용한 제어이다. ... 에러는 없으나 실제로 모터제어가 되는지는 직접실험시간에 돌려본후 수정해 가면서 실험을 성공적으로 마쳐야겠다. ... 학번 : 0000000000 이름 : ㅇㅇㅇ 실험조 : B-22. 실험제목 : PWM을 이용한 DC모터속도제어3. 실험목적1. PWM 관련 레지스터에 대해 알아보자.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.25
  • 자동제어실험 (결과레포트) - External Interrupt 이용한 Step motor 제어
    학번 : 0000000000 이름 : ㅇㅇㅇ 실험조 : B-22. 실험제목 : External Interrupt 이용한 Step motor 제어3. 실험목적1. ... 외부 인터럽트를 이용해서 스텝모터제어해보자.4. 실험과정1. 외부인터럽트 개념을 이해한다.2. 외부인터럽트 프로그램을 작성한다.3. ... 또한 추가로 45도 이상일때만 인터럽트를 걸리게 하는것도 무난히 실험할수 있었다.(2) 외부인터럽트가 걸릴때마다 속도가 줄어들다가 5번 인터럽트가 걸리면 모터가 멈추는 프로그램위 1번실험
    리포트 | 6페이지 | 1,500원 | 등록일 2012.11.25
  • 자동제어실험 (예비레포트) - External Interrupt 이용한 Step motor 제어
    학번 : 0000000000 이름 : ㅇㅇㅇ 실험조 : B-22. 실험제목 : External Interrupt 이용한 Step motor 제어3. 실험목적1. ... 외부 인터럽트를 이용해서 스텝모터제어해보자.4. 실험과정1. 외부인터럽트 개념을 이해한다.2. 외부인터럽트 프로그램을 작성한다.3. ... 위 실험에서는 인터럽 트가 발생하면 모터회전방향을 바꾸는 것이었는데 모터회전을 바꾸는 부분을 속도가 감소하는 프로그램으로 바꾸면 될것같다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.23
  • 자동제어실험 (예비레포트) - Timer Interrupt를 이용한 Step motor 제어
    학번 : 0000000000 이름 : ㅇㅇㅇ 실험조 : B-22. 실험제목 : Timer Interrupt를 이용한 Step motor 제어3. 실험목적1. ... 타이머 인터럽트를 이용해서 스텝모터제어해보자.4. 실험과정1. 타이머 인터럽트 개념을 이해한다.2. 타이머 인터럽트를 발생시키는 기본 프로그램을 작성한다.3. ... {step++; // 스텝이 올라갈때마다 (인터럽트가 발생할때마다) 카운팅outp(phase[i%4], PORTB); //모터로 상을 출력해서 한스텝돌린다.if(step==1000)
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.23
  • 전자회로실험II - 실험 9. DC 모터 속도 제어 및 측정 제 1주 결과보고서
    알아보는 실험이었습니다.555 timer 소자를 이용하여 DC모터 속도 제어 회로를 구성하고 DC 모터를 연결하였더니 모터가 회전하는 것을 알 수 있었습니다. ... DC 모터 속도 제어 및 측정제 1주. ... 목적은 DC 모터의 특성을 이해하고, 저번 실험에서 공부하였던 555 timer 소자를 이용하여 PWM 변조 방식을 이해하고, PWM 변조를 이용한 DC 모터 속도 제어 기법에 대하여
    리포트 | 3페이지 | 2,000원 | 등록일 2017.04.02
  • 전자회로 실험2 PWM을 이용한 DC 모터 속도 제어 (예비보고서)
    전자회로실험Ⅱ예비 보고서주제 6 (제1주) . ... ) : 모터의 속도를 측정하지 않고 제어-폐루프 제어기(Closed Loop controller) : 모터의 속도를 측정하여 제어, 개루프 제어기에 비하여 구성이 복 잡 하지만 외란과 ... 기법을 이해한다.◆ 이론-DC 모터의 속도 제어기 : 요구하는 속도를 만족시키기 위한 신호를 생성하고 이를 이용하여 모터를 구동-제어기 : 개루프 제어기(open Loop controller
    리포트 | 9페이지 | 1,000원 | 등록일 2015.08.28
  • 자동제어실험 (결과레포트) - PWM을 이용한 DC모터속도제어
    학번 : 0000000000 이름 : ㅇㅇㅇ 실험조 : B-22. 실험제목 : PWM을 이용한 DC모터속도제어3. 실험목적1. ... Pulse Width Modulation을 이용하여 모터의 속도를 제어한다.2. 포트의 제어 방법을 익힌다.4. ... 실험결과5.1 sw2를 누르면 모터 속도 증가, sw3을 누르면 모터 속도 감소, sw입력이 없으면 속도를 그대로 유지하라.
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.25
  • 자동제어실험 (결과레포트) - Timer Interrupt를 이용한 Step motor 제어
    학번 : 0000000000 이름 : ㅇㅇㅇ 실험조 : B-22. 실험제목 : Timer Interrupt를 이용한 Step motor 제어3. 실험목적1. ... 타이머 인터럽트를 이용해서 스텝모터제어해보자.4. 실험과정1. 타이머 인터럽트 개념을 이해한다.2. 문제의 조건에 맞게 TCNT값을 계산한다.3. ... 실험결과(1) 지름이3cm인 바퀴로 90cm를 5초에 도달하도록 프로그램예비레포트의 프로그램을 그대로 돌려봤더니 5바퀴를 회전한후 멈추지 않고 모터가 무한 히 돌아가는것을 확인할수
    리포트 | 8페이지 | 1,500원 | 등록일 2012.11.25
  • 전자회로실험II - 실험 9. DC 모터 속도 제어 및 측정 제 3~4주 예비보고서
    *예비보고서*실험 제목실험 9. DC 모터 속도 제어 및 측정조4조제 3~4주. DC모터 개루프 속도 제어/측정기 설계 및 제작1. ... 실험 목적(1) 주어진 규격 및 제한사항을 만족하는 개루프 DC 모터 속도 제어기와 측정기를 설계하고 제작한다.2. ... 규격1, 2주차 실험결과를 이용하여 다음의 규격을 만족하는 DC 모터 속도 측정기를 설계하고 제작한다.DC 모터의 속도 범위 : 25-+ 20 rps(revolution per second
    리포트 | 2페이지 | 2,000원 | 등록일 2017.04.02
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대