• 통큰쿠폰이벤트-통합
  • 통합검색(2,607)
  • 리포트(2,273)
  • 시험자료(129)
  • 자기소개서(91)
  • 방송통신대(58)
  • 서식(41)
  • 논문(12)
  • 이력서(3)

"반가산기" 검색결과 61-80 / 2,607건

  • 반가산기,전가산기,가감산기,BCD인코더및디코더
    1.반가산기두 개의 2진수 A와 B를 더하여, 합 S와 자리올림 C를 출력하는 조합 논리회로? 진리표A BS C*************101? ... 논리회로2.전가산기세 개의 입력 단자와 두 개의 출력 단자를 갖고, 입력 신호의 합과 자 리 올림수를 출력 신호로 나타내는 논리 회로A B CS Cn0000000110010100110110010101011100111111 ... 논리회로3.가감산기제어신호에 따라 덧셈을 수행하거나 뺄셈을 수행하는 회로4.BCD 인코더10진수 등을 입력으로 받아들여 2진 코드의 형태로 변환하여 출력해주는 장치를 말하며 ‘부호기
    리포트 | 5페이지 | 3,000원 | 등록일 2009.09.27 | 수정일 2021.10.11
  • [Flowrian] 반가산기 (Half Adder)의 Verilog 설계 및 시뮬레이션 검증
    반가산기의 사양2. Dataflow 형식 반가산기의 Verilog 설계 및 검증3. Behavior 형식 반가산기의 Verilog 설계 및 검증4. ... 반가산기 (Half Adder)의 동작은 Verilog 언어가 제공하는 3가지 방식, Dataflow, Behavior, Structure 관점에서 반가산기의 논리동작을 모델링한다.Verilog ... Structure 형식 반가산기의 Verilog 설계 및 검증
    리포트 | 9페이지 | 1,000원 | 등록일 2011.10.29
  • [가산기레포트]총정리 리포트(전가산기,반가산기,이진병렬가산기,BCD가산기)
    참고로 반가산기, 전가산기란 이름은 반가산기 2개를 사용하여 전가산기를 구성할 수 있다는 점에 착안하여 지어진 이름이다. ... 가 산 기목차 :1. 반가산기2. 전가산기3. 이진병렬가산기4. BCD가산기덧셈, 뺄셈, 곱셈, 나눗셈 등의 산술연산을 하기 위해 자주 계산기를 사용한다. ... 그림1-1(e)은 2개의 반가산기와 1개의 OR 게이트를 사용하여 전가산기를 구현한 회로이다.(그림1-2)3.
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.06 | 수정일 2018.10.17
  • 반가산기, 전가산기, 2의 보수 XOR, XNOR정의 및 특성
    반가산기반가산기 (half adder)는 이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력(carry out)에 따라 출력한다. ... 하나의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다.입력이 3개 존재해서 (입력 A, 입력 B, 자리올림수 입력) 모두 대등하게 동작한다. ... 전가산기전가산기 회로도전가산기 (full adder)는 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.04.28
  • quartus를 이용하여 반가산기, 전가산기 시뮬레이션
    ① gate수준(논리식)의 표현 library ieee; use ieee.std_logic_1164.all; entity half_adder isport( --입출력 정의x : in STD_logic;..
    리포트 | 7페이지 | 1,500원 | 등록일 2006.10.11
  • [공학]반가산기가산기 심뮬레이션
    반가산기a. 반가산기 회로도b. 반가산기 시뮬레이션c. ... 반가산기 심볼을 이용한 전가산기a. 반가산기 심볼b. 반가산기 심볼을 이용한 전가산기 회로도c. 반가산기 심볼을 이용한 전가산기 시뮬레이션d. ... 전가산기a. 전가산기 회로도b. 전가산기 시뮬레이션c.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • 논리 회로 VHDL 프로젝트 (가산기, 반가산기, 전가산기 소스코드, 사진, 파형, 캡쳐 모두 게재)
    HA)라 한다. 2개의 반가산기를 사용하여 전가산기를 제작할 수 있다.반가산기(Half adder)반가산기는 2개의 2진 입력과 2개의 2진 출력으로 구성한다. ... 두 입력을 x와 y라 하고, 출력을 S(sum:합)와 C(carry:캐리)라고 할 때, 반가산기의 진리표는 아래와 같다.[ 반가산기의 진리표 ]입력출력xyCS0************ ... 이와 같이 세 비트의 덧셈을 수행하는 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder:
    리포트 | 9페이지 | 5,000원 | 등록일 2008.11.18
  • [결과보고서]Bias point, transient, AC sweep analysis,반가산기
    반가산기의 회로도를 그리고 시뮬레이션 결과를 보여라. ... 3) AC sweep analysisAC Sweep 을 하기 위해서는 VAC(교류 전원)이 필요하기 때문에 VSIN을 VAC로 바꾸었다. off-set 전압은 0V이며, 전압의 크기는
    리포트 | 3페이지 | 1,000원 | 등록일 2011.11.15
  • 논리회로실험 반가산기 결과레포트
    1.1 반가산기 반가산기는 두 개의 입력값(a,b)을 (a xor b)한 sum과 (a and b)한 carry-자리 올림수의 두가지 출력을 나타내는 시스템이다. ... 결과 검토 및 의견 반가산기를 네가지 방식으로 구현해보았다. ... xilinx tool 을 이용한 네가지 구현방법 - 회로구성, 자료흐름 모델링, 구조적 모델링, 동작적 모델링- 을 통해 반가산기를 만들어본다. 1.2 모델링 방식
    리포트 | 5페이지 | 1,000원 | 등록일 2008.01.14
  • [DLD실험] 반가산기 및 전가산
    반가산기 및 전가산기1. 실험목적반가산기와 전가산기의 설계를 통해 조합논리 회로의 설계방법을 공부한다.설계된 회로의 기능측정2. ... (반가산기 논리도)2) 전가산기전가산기(Full Adder)는 3개의 입력을 받고 2개의 출력(합, 캐리)를 만든다. ... 관련이론1) 반가산기반가산기 (HA : Half Adder)는 2진수 덧셈을 한다.2변수에 입력되는 조합은 다음과 같다입력되는 변수를 A와 B, 계산 결과의 합(sum)을 S, 자리
    리포트 | 4페이지 | 1,000원 | 등록일 2005.04.11
  • [가산기] 반가산기 및 전가산
    반가산기 및 전가산기1. 목적(1) 반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다.(2) 설계된 회로의 기능측정2. ... 이러한 기능을 전가산기라 한다.1) 반가산기 설계입력 : A, B (피가수, 가수)출력 : S (합), C (자리올림수)기능 : A=B=0 이면 S=C=0A, B중 하나만 1이면 S ... 이러한 기능을 반가산기라 한다.그러나 상위의 자리수를 더할 때는 피가수, 가수 및 아랫자리에서 올라온 자리올림수까지 3개의 2진수를 더해서 결과로 합과 자리올림수를 출력으로 내야 한다
    리포트 | 3페이지 | 1,000원 | 등록일 2002.12.11
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 4장(반가산기, 전가산기, 반감산기, 전감산기) 결과보고서
    디지털 회로 실험(4장 결과보고서)과 목 명 :디지털 회로 실험학 과 :학 번 :이 름 :결 과 보 고 서< 실험 4 : 반가산기, 전가산기, 반감산기, 전감산기 >실험(1) 반가산기 ... 반가산기는 S, C가 전부 1이되는 상황은 발생하지 않는것을 알 수 있었습니다. ... 가산기, 감산기의 TTL소자가 있었지만 직접 AND, OR, NOT게이트를 이용하여 회로를 구성하여 실험해 보았습니다.실험(1)은 반가산기 구성회로를 구성하여 실험하였습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.07
  • [전자실험 회로실험]반가산기 반감산기,전가산기 전감산기 실험
    앞에서 반가산기 설계를 공부하였다. 이번에는 2개의 반가산기를 이용하여 전 가산기를 설계하여 보자. ... 자리 올림수(C0)를 반가산기에 맞게 변형하면 다음 수식과 같다.S = ABCiC0 = BCi + ACi + ABi + ABCiC0 = Ci(B + A) + AB(i + Ci)C0 ... = AB + (AB)Ci또한 2개의 반감산기를 이용한 전감산기를 설계하여 보자, 자리 빌림수(B0)를 반감산기에 맞게 변형하면 다음 수식과 같고, 2개의 반감산기를 이용한 전감산기
    리포트 | 5페이지 | 1,000원 | 등록일 2006.04.09
  • [디지털논리회로실험] 반가산기 및 전가산
    반가산기 및 전가산기1. 실험목적반가산기와 전가산기의 설계를 통해 조합논리 회로의 설계방법을 공부한다.설계된 회로의 기능측정2. ... (반가산기 논리도)2) 전가산기전가산기(Full Adder)는 3개의 입력을 받고 2개의 출력(합, 캐리)를 만든다. ... 관련이론1) 반가산기반가산기 (HA : Half Adder)는 2진수 덧셈을 한다.2변수에 입력되는 조합은 다음과 같다입력되는 변수를 A와 B, 계산 결과의 합(sum)을 S, 자리
    리포트 | 4페이지 | 1,000원 | 등록일 2005.05.21
  • VHDL - 가산기, 반가산기, 4bit 병렬가산기, 8bit cla, SR 래치, D 래치
    LIBRARY IEEE;USE IEEE.std_logic_1164.all;USE IEEE.std_logic_arith.all; ENTITY ripple4_str ISPORT( a : IN std_logic_vector(3 downto 0); b :..
    리포트 | 5페이지 | 1,000원 | 등록일 2006.11.04
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 4장(반감산기, 전가산기, 반감산기, 전감산기) 예비보고서
    그래서 AB로 표현됩니다.(3) 반가산기 회로 및 출력값반가산기 회로반가산기 회로 결과 그래프2) 전가산기ㅣ (FA : Full Adder)전가산기 (full adder)란 2개의 ... 반가산기는 앞의 가산기로부터의 캐리입력을 받을 수가 없으므로 제한적인 용도를 갖게 됩니다(1) 반가산기의 진리표입력신호출력신호ABSumCarry*************101(2) 반가산기의 ... )앞에서 살펴본 반가산기, 전가산기, 이진병렬가산기는 덧셈을 수행하는 반면, 반감산기, 전감산기는 뺄셈을 수행하는 회로를 말합니다.
    리포트 | 8페이지 | 1,000원 | 등록일 2009.05.07
  • 반가산기 및 전가산
    1) 반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다.2) 설계된 회로의 기능측정
    리포트 | 6페이지 | 무료 | 등록일 1999.10.17
  • 반가산기 및 전가산
    책에 나온 데로 회로를 연결한 뒤에 신호를 주기만 하면 되기 땜에 수월한 실험이었다. (회로를 직접 설계하는 것이 아직까지는 더 재미있다.) 다만, 회로가 약간만 복잡해져도 서로 얽히는 도선들 때문에 배선에 신경 써야할 필요성을 느낀다.
    리포트 | 1페이지 | 무료 | 등록일 1999.10.28
  • 반가산기 및 전가산
    실험은 책에 주어진 회로를 연결해서 입력신호에 대한 출력을 조사하는 단순한 것이다. 그러나 회로가 다소 복잡하고 측정해야해야 할 값이 많아서 논리적으로 0이 예상되는 곳은 경우에 따라 측정하지 않았다. 그러므로 위의 실험 결과에서 0으로 표기된 곳은 측정하지 않은 값이..
    리포트 | 4페이지 | 무료 | 등록일 1999.10.28
  • 교수학습과정안 - 컴퓨터일반 - 3장 컴퓨터의 원리 - 5. 컴퓨터가 만드는 덧셈 - (1) 반가산기 (2) 전가산
    가산기3. 반가산기4. ... 컴퓨터가 만드는 덧셈(1) 반가산기(2) 전가산기학습목표1. 반가산기를 설계할 수 있다.2. 전가산기를 설계할 수 있다.지도단계학 습 내 용교수 ? 학습 활동학습자료? ... 컴퓨터가 만드는 덧셈소 단 원(1) 반가산기(2) 전가산기차 시4 / 4교과서쪽수78 ~ 80학년 반 이름점 수 / 3▷ 반가산기를 설계하고자 한다. 아래 물음에 답하시오.1.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.02.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대