• 통큰쿠폰이벤트-통합
  • 통합검색(628)
  • 리포트(581)
  • 시험자료(29)
  • 자기소개서(11)
  • 방송통신대(7)

"순차논리회로설계" 검색결과 61-80 / 628건

  • 아날로그 및 디지털회로설계실습 실습9(4-bit Adder 회로 설계)예비보고서
    실습목적 : 순차논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.9-2. ... 예비보고서(설계실습 9. 4-bit Adder 회로 설계)아날로그 및 디지털 회로 설계실습설계실습 9. 4-bit Adder 회로 설계9-1. ... (D) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로설계한다.S= bar { A}bar{B}C _{ i}+A bar{B}C_{i}+AB bar{C_{i}}+ABC
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.24
  • 시립대 전전설2 A+ 4주차 예비레포트
    다를 수 있음함축적 감지신호 표현@(*)을 사용 가능순차논리회로 모델링동기식 set/reset을 갖는 경우: 클록신호만 포함비동기식 set/reset을 갖는 경우: 클록신호, 셋, ... 등 설계능력 함양Module instantiation을 이용한 Structural modeling 설계 능력 함양조합회로를 always구문 안에서 behavioral 모델링으로 디자인하는 ... 표현을 이용한 조합논리회로 모델링에 이용연속 할당문의 지연값 지정assign 뒤에 지연 연산자(#)를 사용하여 지정우변 피연산자 값의 변화에서부터 그 값이 좌변에 할당되기까지의 시간
    리포트 | 25페이지 | 2,000원 | 등록일 2024.09.08
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습8 래치와 플립플롭 예비보고서
    실습 목적순차논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.8-2. ... 아날로그 및 디지털 회로 설계 실습-실습 8 예비보고서-래치와 플립플롭학 과 : 전자전기공학부담당 교수님 : XXX 교수님제출일 : 2020.11.X(X)조 : X요일 X조학번 / ... 설계실습 계획서8-3-1 RS 래치의 특성 분석(A) RS 래치의 진리표를 나타내고, 아래 그림 RS 래치의 이론적인 상태도를 그린다.RS latch의 진리표SR00HoldHold010110101100
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.06
  • 서강대학교 디지털논리회로실험 레포트 6주차
    논리회로 설계의 기본이 되는 function block들이다. ... time의 정의를 파악하고 이 parameter가 순차 논리회로 설계에 어떻게 영향을 미치는지 알아보자.Hold time은 출력값을 얻기 위해 C가 인가된 후 유지되어야 하는 최소 ... 이론1) sequential 회로 : 순차 논리 회로(sequential)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 말한다.
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 8주차 예비보고서- 디지털 시스템 설계 및 실험
    클럭이 발생하는 입력은 같지만 현재 상태에 따라 출력 값이 다른 순차회로가 됩니다.always 문에서 값을 할당할 수 있는 데이터 형은 레지스터 형입니다. ... (이벤트가 발생하지 않을 경우 값을 유지)앞의 예제에서 클럭의 상승에지에서 순차회로가 동작하게 되는데 다음 상태의 count값은 현재 상태의 count 값에 1을 더한 값이 저장됩니다 ... 메모리 소자(Latch 또는 Flip-Flop)를 가지고 있습니다.always문의 타이밍 제어가 이벤트일 경우 Sensitivity List에 해당하는 이벤트가 발생할경우 아래 순차회로
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 전전설2 실험1 결과보고서
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험 및 설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... 회로 실험- 전가산기 회로 설계2. ... 의해서만 결정되는 회로- 정보를 기억하는 회로를 가지고 있지 않은 게이트들의 집합예 : 덧셈기, 반가산기, 전가산기, 디코더, 인코더, 멀티플렉스, 디멀티플렉서순차회로(sequential
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • 아날로그 및 디지털회로설계실습 실습8(래치와 플립플롭)결과보고서
    래치와 플립플롭요약 : 순차논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대해 알아보고 이해한다. ... 결과보고서(설계실습 8. 래치와 플립플롭)아날로그 및 디지털 회로 설계실습설계실습 8. ... 설계한 RS-Latch 의 입력을 바꾸어가며 실제 논리표와 일치하게 동작하는지를 확인한다. 이를 통해 래치와 플립플롭의 원리에 대하여 이해할 수 있다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.09.24
  • 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    요약Flip Flop을 활용한 순차논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다. ... 서론설계실습계획서에서 8진 비동기 카운터 회로에 대하여 Timing chart로 Simulation한 결과, 실험에서 스위치 역할을 대신하는 clk 신호에 의해 각 JK flip flop의 ... 상태에 따라 이진수 000에서 111까지, 그리고 다시 000으로 초기화되는 모습을 확인했다. 16진 동기 카운터의 경우, AND 게이트두개를 추가하여 설계하였으며, 공통 CLK에
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 한양대학교 융합전자공학 최초합 자기소개서, 학업계획서
    이후 개인적으로 흥미가 생겨 FPGA 설계 소프트웨어 Quartus를 사용하여 논리회로순차회로를 사용한 파형을 직접 분석해보고, 이를 통해 경제성을 고려한 가장 효율적인 금고를 ... 이후 개인적으로 FPGA 설계 소프트웨어 Quartus를 사용하여 논리회로순차회로를 사용한 파형을 직접 분석해보고, 이를 통해 경제성을 고려한 가장 효율적인 금고를 제작해 보았습니다 ... 전적대학교 디지털논리설계회로이론 전공 수업에서 직접 회로를 구성하고 더 효율적인 회로를 구성하기 위한 방법을 모색하는 실습을 진행했습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.12.28
  • LS일렉트릭 HWP(PLC,HMI)직무 합격자소서
    디지털회로 및 반도체 지식"논리회로 수업에서 조합 및 순차 논리회로에 대해 학습하고 타이머와 카운터의 동작원리에 대해 배웠습니다. ... 이의 일례로 디지털회로설계 역량을 발전시키기 위해 논리회로실험 설계 프로젝트에서 1위를 달성하겠다는 목표에 도전했습니다. ... 이를 위해 통신체계를 제어하는 임베디드S/W 설계역량과 PLC 구조를 이해하기 위한 디지털회로 및 반도체 지식을 쌓았습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.23
  • 실습 8. 래치와 플립플롭 예비보고서
    실습목적순차논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.8-2. ... 설계실습 계획서8-3-1 RS 래치의 특성 분석(A) RS 래치의 진리표를 나타내고, 아래 그림 RS 래치의 이론적인 상태도를 그린다.SRQQ’00유지유지0101101011부정0부정0그림
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.19
  • 제10장 래치와 플립플롭 예비보고서
    순차논리회로순차논리회로의 블록도는 과 같다. 이 그림에서조합논리회로에 메모리요소가 궤환되어 있다. ... 이해한다.- 플립플롭의 동작을 실험을 통해 이해한다.2) 이론 및 실험- 순차논리회로디지털회로는 크게 조합논리회로(combinational logic)와 순차논리회로(sequential ... 입력과 출력에도 영향을 받는다.즉, 조합논리회로=정적시스템, 순차논리회로는=동적시스템 이다.그림 10-1.
    리포트 | 15페이지 | 1,500원 | 등록일 2020.02.11
  • 서강대학교 디지털논리회로실험 7주차 - Counter와 State Machine 설계
    우선 동기 직렬 Counter는 FF의 입력이 이전 FF의 입력과 출를 세는 것처럼  개의 상태를 반복적으로, 차례대로 출력하는 순차 논리회로로서, 보통 n개의 flip-flop을 ... 그리고 Mealy/Moore State machine을 분석하고 설계할 수 있는 능력을 기르고, ISE의 bus 구현에 대해 배운다.2. ... T FF, 혹은 D FF나 JK FF를 이용해 1의 입력이 들어올 때마다 FF의 출력이 바뀌도록 하고 비동기 counter를 설계한다면 FF의 출력은 일정한 주기를 가진 신호가 되는데
    리포트 | 32페이지 | 1,500원 | 등록일 2024.08.17
  • 서울시립대 전전설2 Lab-01 예비리포트 (2020 최신)
    실험목적디지털 설계의 장점과 TTL gate의 특성을 이해하고 OR gate, XOR gate, 가산기 등 여러 논리회로를 디자인한 후 실제로 설계하여 실험능력을 함양한다.2. ... JK플립플롭, D플립플롭 등을 이용한 회로가 대표적인 순차회로이다. 이 플립플롭들의 출력값은 다시 피드백되어 Input과 함께 output에 영향을 미친다.3. ... [반가산기] [전가산기]- 디지털 로직의 조합(combinational)회로순차(sequencial)회로에 대하여 차이점을 조사하고 여러 가지 예를 들어 설명하시오.출력값이 오직
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.10
  • 건국대학교 전기전자기초실험1 14주차 예비보고서 A+
    순차회로의 분석과 설계 376p~380p건국대학교 전력전자연구실PAGE \* MERGEFORMAT4 ... 사전 조사 항목 참고 자료디지털 논리회로, 생능출판, 김종현, Chapter 7. ... 순차회로에서는 기억 소자의 출력이 입력 신호와 함께 조합회로를 통과하면서 다음 출력을 결정하게 된다.
    리포트 | 9페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • SR플립플롭을 이용한 간단한 도어락과 조도센서를 이용한 LED PPT
    논리회로 요소설계 프로젝트 SR 플립플롭을 이용한 간단한 도어락과 조도센서를 이용한 LED목차프로젝트 개요 계획 저장할 수 있는 성질을 가진 플립플롭을 사용하여 간단한 도어락을 만들어 ... 이를 해결하려면 순차적으로 숫자를 받아 그것을 판별하는 시스템을 만들어야함 . ... 계속해서 Q 와 Q’ 의 값이 의도대로 나오지 않아 계속해서 수정과 수정을 거듭하여 나온 회로도이다 .
    리포트 | 10페이지 | 3,000원 | 등록일 2023.07.26
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 8차 예비보고서
    실습 목적순차논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.2. ... 아날로그 및 디지털 회로 설계 실습예비보고서설계실습 8. 래치와 플립플롭소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.09제출날짜2023.11.091.
    리포트 | 5페이지 | 1,000원 | 등록일 2024.02.17
  • 서울시립대학교 전전설2 7주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    clk부분을 state를 활용해 moore 방식으로 저장을 해주었지만, 이 설계에서는 단순히 순차회로의 특성을 활용하여 설계를 진행하였습니다.설계를 진행하면서 받은 느낌은 굳이 moore ... (Moore machine Type)Input_sync_by_FSM(moore machine)Test benchSimulation 결과Pin 연결- 개발 설명위 디자인의 설계순차회로로 ... 디자인의 설계는 posedge clk의 입력신호를 기반으로 진행되었습니다.하나의 순차회로만을 활용해 하나에 data에 여러 always문이 접근하지 못하는 것을 애초에 막아주었습니다.위
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 전전설2 실험1 결과보고서
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험 및 설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... 회로 실험- 전가산기 회로 설계2. ... 의해서만 결정되는 회로- 정보를 기억하는 회로를 가지고 있지 않은 게이트들의 집합예 : 덧셈기, 반가산기, 전가산기, 디코더, 인코더, 멀티플렉스, 디멀티플렉서순차회로(sequential
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 논리회로설계 실험 기본게이트 설계
    또한 전기전자 논리회로 교과목의 기초지식과 Xilinx tool을 사용하여 VHDL언어를 통해 기본적인 논리회로인 AND OR 게이트의 논리회로설계하고 진리표를 통하여 각 기본 ... 논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다. ... 하드웨어에 대한 지식이 별로 없는 사람이 설계하거나 회로의 문서화를 하는 경우에 좋다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대