• 통큰쿠폰이벤트-통합
  • 통합검색(3,180)
  • 리포트(2,360)
  • 자기소개서(727)
  • 시험자료(49)
  • 방송통신대(21)
  • 논문(11)
  • 서식(7)
  • ppt테마(3)
  • 이력서(2)

"제어실습" 검색결과 61-80 / 3,180건

  • 6. 위상 제어 루프(PLL) 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 6 예비보고서-위상 제어 루프(PLL)학과 :담당 교수님 :제출일 :조 :학번 / 이름 :6-1. ... 실습목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화 (Phase Locking) 원리를 이해한다.6-2. ... 설계실습 계획서6-3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.위상 제어 루프는 전압 제어 발진기의 출력 위상을
    리포트 | 11페이지 | 1,000원 | 등록일 2022.09.06
  • 5. 전압 제어 발전기 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 5 예비보고서-전압 제어 발진기학과 :담당 교수님 :제출일 :조 :학번 / 이름 :5-1. ... 목적전압제어 발진기(VCO: Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인한다.부품저항 100Ω, 1/2W, 5% ... 발진기의 설계(A) 그림 5-1과 같이 전압제어 발진기를 설계하고, 출력파형을 관찰하라.
    리포트 | 13페이지 | 1,000원 | 등록일 2022.09.06
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 전압제어발진기(VCO) 예비
    아날로그 및 디지털회로 설계 실습6주차 예비: 전압제어 발생기전자전기공학부20160000 하대동고릴라1. ... 전압제어 발진기의 설계(A) 그림 5-1과 같이 전압제어 발진기를 설계하고, 출력파형을 관찰하라. ... (C) 실습 이론에 나오는 식 (8-3)과 식 (8-5)를 이용하여 출력 주파수 식을 완성하시오.V _{O} =- {R _{B1}} over {R _{1} C(R _{B1} +R _
    리포트 | 11페이지 | 1,500원 | 등록일 2020.12.23
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 전압 제어 발진기 과제 7주차
    아날로그 및 디지털회로 설계 실습7주차 과제: 전압 제어 발진기전압 제어 발진기 실험 회로도1. 실험 계획서와 실험 결과를 참고하여 문제에 답하시오. ... 주파수가 감소한다면 임피던스는 증가하다가 결국 open circuit처럼 될 것이다.전압제어 발진기는 입력전압에 비례하는 주파수를 가지는 출력을 만들어 낸다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.06.28
  • [A+]중앙대학교 아날로그및디지털회로설계실습 위상 제어 루프 (Phase Locked Loops) 예비보고서
    실습목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화(Phase Locking) 원리를 이해한다. ... 서론VCO의 출력 위상을 입력 신호의 위상과 비교하여 입력의 위상 차이를 가지고 전압제어 발진기를 제어하는 피드백 시스템이다.PLL은 1. 위상 검출기 2. 루프 필터 3.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.02
  • [중앙대 마이크로프로세서 응용회로 설계실습] 6주차 결과 보고서 main함수인자받기,FND제어(6주차)
    마이크로프로세서 응용회로설계실습(6주차 결과보고서)소속담당교수수업 시간학번성명1. argument.c 를 argument 이름으로 컴파일 하고 (gcc 이용하여)$ .
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.24
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 위상 제어 루프 Phase Locking Loop PLL 과제 9주차
    아날로그 및 디지털회로 설계 실습9주차 과제: 위상 제어 루프1.1) 위와 같은 두 펄스가 XOR logic Phase Detector에 입력되었을 때의 출력을 그리시오. ... Input 주파수: 5MHz, ⓐ 노드의 주파수: 5MHz, Output 주파수: 3MHz일 때 충분한 시간이 지났을 경우 Input, ⓐ 노드, Output의 주파수를 구하시오.위상제어루프는
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.28
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    제어기에서 ADC로 Start-convert 신호 전송3. ADC는 샘플링 시작 ... Crosswalk Controller 횡단보도 제어기5. ADC Controller6. ... 개요12case 구문을 사용한 BCD Counter 만들기23case 구문을 사용한 GRAY Code Counter 만들기34case 구문을 사용한 Dual Counter 만들기45횡단보도 제어
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 5차예비보고서-전압제어발전기
    실험 목적전압제어 발진기(VCO: Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.06
  • 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 6차예비보고서-위상 제어 루프(PLL)
    설계실습 계획서3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.위상 제어 루프는 전압제어 발진기의 출력위상과 입력신호의 ... 실험 목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화(Phase Locking) 원리를 이해한다.2. ... 위상을 비교하여 두 입력의 위상차이를 가지고 전압제어 발진기를 제어하는 피드백 시스템으로, 위상을 고정 또는 변화시킬 수 있다.
    리포트 | 11페이지 | 1,000원 | 등록일 2021.10.06
  • 2019년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비5 전압 제어 발진기
    1.실습 목적전압제어 발진기(VCO : Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인한다.2. ... 설계실습 계획서3.1 슈미츠 회로의 특성(A) 실험에 사용될 IC의 Datasheet를 참조하여, 중요한 전기적 특성을 확인하시오. ... 실습 준비물부품저항 100Ω, 1/2W, 5% 3개저항 5.1kΩ, 1/2W, 5% 1개저항 10Ω, 1/2W, 5% 1개저항 20kΩ, 1/2W, 5% 3개Capacitor 10nF
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.05
  • 자동제어실험 결과 QUARC 및 ELVIS 실습
    QUARC 및 ELVIS 실습1. ... : 구형일분 반: 수 F~I 교시학 번:성 명:자동제어실험 결과보고서 #1. ... MATLAB 프로그램을 이용하여 다양한 데이터의 수집,제어가 가능하고 프로그램 가능한 카운터와 감시 타이어의 안전성, 응용성을 최대화 시킨 장비이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.11.29
  • 시퀀스제어 실습
    T3 : 시퀀스제어 실습1. ... -,시퀀스 제어의 왕초보에서 응용까지 -출판사 : 동일출판사-2) 시퀀스제어 구성 요소의 작동 원리를 이해한다.① 시퀀스 제어계의 구성요소제어대상 : 기계, 프로세스, 시스템의 대상이 ... 실험목적1) 시퀀스제어(미리 결정된 순서 또는 일정한 논리에 의해 결정되는 순서에 따라 제어의 각 단계를 점진적으로 진행해 나가는 제어)의 의미를 이해한다.2) 시퀀스회로 표시에 이용되는
    리포트 | 15페이지 | 1,000원 | 등록일 2010.11.21
  • 자동차기능실습, 자동차 전자제어 조사 A+
    자동차기능실습전자제어 시스템다양한 전자제어 시스템에 대하여 알아보기20111412 자동차공학과 김경수목차1 .서론[전자제어시스템의 종류와 유형 및 그 설명]2. ... 섀시 프레임의 개별 제어, 통합 제어 및 자율주행제어로 이어져 차량 탑승자의 안전을 제공하며 자동 주차 시스템, 팝업 디스플레이 같은 편리한 새로운 기능들이 추가되고 있다. ... 차량 자동 제어 시스템이다.
    리포트 | 21페이지 | 1,000원 | 등록일 2018.10.22
  • PWM 제어 실습
    따라서 확장성이 크고, 디지털적 제어가 쉽다.PWM의 원리를 살펴 보려면, 우리가 진행한 두 번째 실습 결과를 확인하는 것이 좋다. ... UBIQUITOUS Application DesignPWM 제어 실습============ CONTENTS =============IntroduceContents of PracticePractice ... 단방향 구동 회로이며, 달링톤 TR에 PWM을 인가한다.우리가 실습하는 환경을 구성하기 위해서는 밑의 회로에서 점퍼 상태를 다음과 같이 설정한 상태에서 실습을 진행한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2010.06.02
  • 아날로그 및 디지털 회로 설계 실습 7. 위상 제어 루프(PLL)
    예비보고서실습 7.위상 제어 루프(PLL)7-1. ... 설계실습 계획서7-3-1 위상제어루프의 용도: 이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.: 기본적으로는 입력된 주파수와 같은 주파수로 ... 목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화 (Phase Locking) 원리를 이해한다.7-2.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.03.21
  • 아날로그 및 디지털 회로 설계 실습 6. 전압제어 발진기
    예비보고서실습 6.전압제어 발진기6-1. ... 목적전압제어 발진기(VCO: Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인한다.6-2. ... 발진기의 설계(A) 그림 6-1과 같이 전압제어 발진기를 설계하고, 출력파형을 관찰하라.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.03.21
  • 실험4) GPIO 입출력 제어 실습 1, 2
    보내 점등 - 프로그램이 시작하면 1초마다 LED에 불이 점등 2) 실습 목표 - GPIO 입출력 포트의 방향 제어 및 출력 제어 방법 습득 - LED 동작 원리 습득 ... 함 - 입출력 포트를 스위치 쪽은 입력으로 LED 쪽은 출력으로 설점하도록 함 2) 실습 목표 - GPIO 입출력 포트의 방향 제어 및 입력 제어 방법 습득 - 스위치 동작원리 ... 1) 실습개요 - ATMega128 마이크로컨트롤러의 GPIO를 이용하여 LED를 켜는 가장 단순한 실습 - 입출력 포트를 출력으로 설정하고, 그 포트를 이용하여 LED에 신호를
    리포트 | 7페이지 | 1,500원 | 등록일 2015.11.12
  • 아날로그 및 디지털 회로 설계 실습-예비보고서-6.위상 제어 루프 (PLL)
    아날로그 및 디지털회로설계 실습설계실습 계획서6. 위상 제어 루프 (PLL)6-1. ... 설계실습 계획서6-3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.전압제어 발진기의 출력 위상을 입력 신호의 위상과 ... 목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화 (Phase Locking) 원리를 이해한다.6-2.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.10.30
  • [실습보고서] 4비트 버스제어기 설계
    3주차 실습보고서OrCAD를 이용하여 4비트 버스제어기 설계1. ... 멀티플렉서의 특징인 데이터 선택기를 이용하여 4비트 버스제어기를 설계하였다.74126을 이용하여 위의 그림과 같이 버스제어기를 설계할 수도 있다.4. ... 내용OrCAD를 이용하여 4비트 버스제어기를 설계하는 것인데 74153인 멀티플렉서를 이용하여 4비트 버스제어기를 설계하였다.버스 라인을 이용하여 설계하여도 되지만 자꾸 오류가 나서
    리포트 | 6페이지 | 1,000원 | 등록일 2014.03.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대